色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

您好,歡迎來電子發燒友網! ,新用戶?[免費注冊]

您的位置:電子發燒友網>源碼下載>VHDL/Verilog/EDA源碼>

VHDL源代碼

大小:69 人氣: 2010-02-09 需要積分:0
{$username}的空間

用戶級別:注冊會員

貢獻文章:

貢獻資料:

VHDL源代碼
?library ieee;????????????????????? --顯示器彩條發生器
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;


entity VGA is
?? port(clk,mode?? :in std_logic;??? --掃描時鐘/顯示模式選擇時鐘
??????? d,hs,vs,r,g,b:out std_logic);? --行,場同步/紅,綠,藍
end VGA;

architecture a of VGA is
??? signal hs1,vs1,fclk,cclk,divide_clk,dly:? std_logic;
??? signal mmode :std_logic_vector(1 downto 0);???? --方式選擇
??? signal cnt :std_logic_vector(2 downto 0);
??? signal fs :std_logic_vector(3 downto 0);
??? signal cc :std_logic_vector(4 downto 0);??????? --行同步/橫彩條生成
??? signal ll :std_logic_vector(8 downto 0);??????? --長同步/豎彩條生成
??? signal grbh :std_logic_vector(3 downto 1);????? --X 橫彩條
??? signal grby :std_logic_vector(3 downto 1);????? --Y 豎彩條
??? signal grbx :std_logic_vector(3 downto 1);????? --文字
??? signal grbt :std_logic_vector(3 downto 1);????? --圖案
??? signal grbp :std_logic_vector(3 downto 1);?????
??? signal grb? :std_logic_vector(3 downto 1);
??? signal x :integer range 0 to 800;
??? signal x1: integer range 0 to 800;
??? signal y1: integer range 0 to 600;
??? signal x2: integer range 0 to 800;
??? signal x3: integer range 0 to 800;
??? signal x4: integer range 0 to 800;
??? signal x5: integer range 0 to 800;
??? signal x7: integer range 0 to 800;
??? signal x8: integer range 0 to 800;
??? signal x9: integer range 0 to 800;
??? signal x10: integer range 0 to 800;
??? signal x11: integer range 0 to 800;

??? signal y2: integer range 0 to 600;
??? signal y3: integer range 0 to 600;
??? signal y4: integer range 0 to 600;
??? signal y5: integer range 0 to 600;
??? signal y6: integer range 0 to 600;
??? signal c: integer range 0 to 30;

非常好我支持^.^

(7) 100%

不好我反對

(0) 0%

      發表評論

      用戶評論
      評價:好評中評差評

      發表評論,獲取積分! 請遵守相關規定!

      ?
      主站蜘蛛池模板: 美女PK精子小游戏| 护士日本xx厕所| 国产精品无码视频一区二区| 啦啦啦影院视频在线看高清... | 火影小南被爆羞羞网站| 午夜片神马影院福利| 火影忍者高清无码黄漫| 双性诱受灌满哭求饶BL| 夜色女人香| 白丝萝莉喷水| 国产中文字幕在线| 无套内射无矿码免费看黄| 成人毛片免费播放| 人妻体体内射精一区二区| 被同桌摸出水来了好爽的视频| 国产欧美日韩亚洲第一页| 受喷汁红肿抽搐磨NP双性| 国产成人在线视频观看| 色欲色香天天天综合| 国产成人精品视频播放| 亚洲 日韩 自拍 视频一区| 国产色偷偷男人的天堂| 亚洲精品国产自在在线观看| 精品一区二区三区在线成人| 在线一本码道高清| 国自产精品手机在线视频| 亚洲qingse中文字幕久久| 国产亚洲免费观看| 青青草A在在观免费线观看| qvod在线电影| 久久九九有精品国产23百花影院| 三男强一女90分钟在线观看| 国产AV精品无码免费看| 无止侵犯高H1V3无止侵犯 | 99re久久热在线视频| 日本撒尿特写| 国精产品一区一区三区M| 正在播放一区二区| 国产午夜视频在线| 夜夜精品视频一区二区| 免费毛片a在线观看67194|