色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Quartus Prime設計出高效利用硅性能的新一代可編程器件

PCB線路板打樣 ? 來源:LONG ? 2019-08-09 11:04 ? 次閱讀

Altera的新Quartus Prime設計軟件擴展了設計性能和生產力方面的領先地位

加利福尼亞州圣何塞。, 11月。 2015年2月//PRNewswire/- 為新一代可編程邏輯器件設計生產力的新紀元,Altera公司(納斯達克股票代碼:ALTR)今天發布了Quartus ? Prime設計軟件。 Altera的新軟件環境建立在公司經過驗證的用戶友好型Quartus II軟件之上,并采用了以生產力為中心的新型Spectra-Q?引擎。新的Quartus Prime設計軟件經過優化,可通過減少設計迭代,提供業界最快的編譯時間和加速芯片性能來增強FPGA和SoC FPGA設計流程。

“我們的軟件工具在整個行業中以提供最高水平的性能和生產力而聞名,”Altera軟件和IP營銷高級主管 Alex Grbic 說。 “Quartus Prime設計軟件通過數十年的軟件創新擴展了Altera的領導地位,為我們的客戶提供了可編程邏輯器件的新級別性能和生產力?!?/p>

Quartus Prime設計軟件用戶將體驗同樣的輕松使用前端用戶界面作為以前的軟件版本;而在后端添加Spectra-Q引擎可以通過一組更快,更可擴展的算法實現前所未有的編譯時間改進和更高的設計性能。該引擎還具有分層數據庫,可保留IP塊的布局和布線,以確保穩定的設計,同時消除不必要的時序收斂工作并縮短編譯時間。

與早期訪問客戶合作,Quartus Prime設計軟件在多個Arria?10設計中展示了顯著更高的設計性能和設計人員生產力。隨著Quartus Prime設計軟件版本15.1的發布,針對Arria 10設計的客戶將體驗到:

與之前的軟件版本相比,新的Hybrid具有全速度等級優勢Placer和全局路由器算法。

使用新的BluePrint平臺設計器,IO設計速度提高了10倍。

使用軟件的新Rapid Recompile功能,編譯時間縮短了4倍。

擴展了硬件描述語言支持,包括SystemVerilog-2005和VHDL-2008。

Quartus Prime設計軟件許可模型

Quartus Prime設計軟件根據客戶的設計要求提供三個版本。 Quartus Prime Pro Edition提供最新的性能和生產力工具,支持Altera最新的高性能FPGA和SoC FPGA。 Quartus Prime標準版支持Altera新產品類別的器件,Quartus Prime Lite版支持Altera的大批量器件系列。 Pro和Standard版本需要年度軟件許可證,而Lite版本可以免費下載,無需許可證文件。

訪問Altera廣泛的IP生態系統
Quartus Prime設計軟件為用戶提供了對Altera廣泛的知識產權(IP)內核集合的訪問。最新軟件版本中的增加和增強功能包括四個新的前向糾錯(FEC)DSP內核,一個針對低延遲10G以太網MAC和1G/2.5G/10G多速率以太網PHY的新2.5G動態速率變化選項,以及外部存儲器接口IP的改進的可用性功能。還包括新的動態生成和可配置的硬件設計示例,簡化了硬件上的IP評估,以進一步提高設計人員的工作效率。有關Altera IP解決方案的更多信息,請參見“IP中的新功能”網頁。

可用性和定價
Quartus Prime設計軟件現已可供下載.Quartus Prime Pro和Standard版本附帶ModelSim?-Altera入門版軟件和IP Base Suite的完整許可證。對于節點鎖定的PC許可證,年度軟件許可證 $ 2,995 ,可在Altera的eStore 購買。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 可編程器件
    +關注

    關注

    2

    文章

    59

    瀏覽量

    20934
  • PCB打樣
    +關注

    關注

    17

    文章

    2968

    瀏覽量

    21696
  • 華強PCB
    +關注

    關注

    8

    文章

    1831

    瀏覽量

    27749
  • 華強pcb線路板打樣

    關注

    5

    文章

    14629

    瀏覽量

    43035
收藏 人收藏

    評論

    相關推薦

    利用可編程器件CPLD/FPGA實現VGA圖像控制器的設計方案

    利用可編程器件CPLD/FPGA實現VGA彩色顯示控制器在工業現場中有許多實際應用。以硬件描述語言VHDL對可編程器件進行功能模塊設計、仿真綜合,可實現VGA顯示控制器顯示各種圖形、圖像、文字,并實現了動畫效果。
    發表于 08-30 12:03 ?1097次閱讀
    <b class='flag-5'>利用</b><b class='flag-5'>可編程器件</b>CPLD/FPGA實現VGA圖像控制器的設計方案

    請教器件可編程的基本概念

    RAM就可以改變可編程器件的邏輯。可編程器件的LE中,其輸入陣列中是不是列和行都是實際導線,根據具體的需要把需要的節點‘焊接'在起。忘指點。謝謝。
    發表于 07-13 17:06

    如何通過ARM對可編程器件進行配置?

    通過ARM對可編程器件進行配置的的設計和實現
    發表于 04-13 06:20

    請問如何去設計可編程器件輔助軟件?

    HAD輔助設計軟件有哪些功能?電路模塊HDL程序是怎樣生成的?管理電路單元庫程序的設計思路是怎樣的?請問如何去設計可編程器件輔助軟件?
    發表于 04-14 06:21

    如何利用Lattice公司的可編程器件設計車用顯示系統?

    本文將主要介紹如何利用Lattice公司的可編程器件設計車用顯示系統。
    發表于 05-17 06:09

    PLD可編程邏輯器件

    ,足以滿足設計般的數字系統的需要。目前常用EEPROM,CPLD,FPGA。 PLA,PAL,GAL是早期的可編程器件,已經淘汰。可編程邏輯器件PLD(Programmable Logic Dev...
    發表于 07-22 09:05

    FPGA可編程器件和CPLD可編程器件有哪些相同點和不同點

    CPLD是什么?FPGA包含哪幾類可編程資源呢?FPGA可編程器件和CPLD可編程器件有哪些相同點和不同點?
    發表于 11-10 07:42

    可編程器件編程原理是什么?

    可編程器件編程原理是什么?指令集對CPU的意義是什么?
    發表于 11-30 07:39

    基于可編程器件的任意進制計數器的設計

    采用可編程器件設計電路,利用MAX+plus II設計軟件中LPM元件庫所提供的lpm_counter元件,實現任意進制計數器的設計。該計數器電路與結構無關,可編程器件的芯片利用率及效
    發表于 12-29 17:47 ?55次下載

    Altera發布光纖互連可編程器件

    Altera公司日前發布其光纖互連可編程器件規劃。收發器是業界發展的關鍵,因此,Altera發揮在這領域的技術領先優勢,將這遠景展望變為現實
    發表于 03-31 09:28 ?819次閱讀

    可編程器件實現LED顯示屏的硬件掃描控制

    可編程器件實現LED顯示屏的硬件掃描控制
    發表于 01-18 20:40 ?21次下載

    可編程器件緒論

    可編程器件緒論
    發表于 09-19 15:40 ?7次下載
    <b class='flag-5'>可編程器件</b>緒論

    Quartus Prime設計軟件發布,標志新一代可編程邏輯器件設計效能時代來臨

    Altera公司發布Quartus Prime設計軟件,標志著新一代可編程邏輯器件設計效能新時代的來臨。Altera新的軟件環境構建在公司成熟可靠而且用戶友好的
    發表于 08-31 16:57 ?1948次閱讀

    電子技術基礎知識存儲器、復雜可編程器件和現場可編程門陣列的介紹

    本文檔的詳細介紹的是電子技術基礎知識存儲器、復雜可編程器件和現場可編程門陣列的介紹主要內容包括了: 1 只讀存儲器,2 隨機存取存儲器,3 復雜可編程邏輯器件,4 現場可編程門陣列,5
    發表于 02-22 08:00 ?28次下載
    電子技術基礎知識存儲器、復雜<b class='flag-5'>可編程器件</b>和現場<b class='flag-5'>可編程</b>門陣列的介紹

    可編程器件的特點和發展歷程

    可編程器件(Programmable devices)是種集成電路,可以在生產過程中通過編程改變其功能和性能。它們具有的特點和發展歷程可以追溯到20世紀60年
    的頭像 發表于 12-21 17:19 ?859次閱讀
    主站蜘蛛池模板: 国产在线一区二区AV视频| 欧美猛男gaygayxxgv| 色www永久免费| 综合色中色| 国产亚洲精品久久无码98| 青青草原在线免费| 姉调无修版ova国语版| 国产亚洲精品香蕉视频播放| 热99re久久精品国产首页| 中国人泡妞xxxxxxxx19| 国产一区二区三区在线看片| 秋霞电影网午夜一级鲁丝片 | 囯产免费精品一品二区三区视频| 久久青青无码AV亚洲黑人| 性高跟鞋xxxxhd| 成人综合在线观看| 嗯啊快停下我是你老师啊H | 免费精品国产人妻国语| 亚洲免费无码中文在线亚洲在| 俄罗斯性孕妇孕交| 欧美精品成人a多人在线观看| 中文字幕国产在线观看| 紧缚束缚调教丨vk| 亚洲 欧美 视频 手机在线| 刮伦人妇A极一片| 飘雪在线观看免费完整版| 67194成在线观看免费| 久久re视频这里精品一本到99| 亚洲AV国产精品无码精| 国产MD视频一区二区三区| 欧美在线视频一区| 99热在线视频| 男女啪啪抽搐呻吟高潮动态图 | 国产精品婷婷五月久久久久| 色青青草原桃花久久综合| 扒开老师大腿猛进AAA片软件| 免费在线a| 999视频在线观看| 免费看黄软件| 99久久精品国产免费| 美女脱了内裤张开腿让男人桶到爽|