色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vivado 工具已更新至2020.1.1 v1.30

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2020-09-25 14:58 ? 次閱讀

描述

在《Zynq UltraScale+ MPSoC 數(shù)據(jù)手冊》(DS925) 中,XAZU7EV-1Q 器件與 XAZU11EG-1Q 器件的最低量產(chǎn)軟件和速度規(guī)格已從 Vivado 工具 2019.1.1 v1.26 更新至 Vivado 工具 2020.1.1 v1.30。

汽車級 Zynq UltraScale+ 器件的速度文件參數(shù)在 2020.1.1 版中已更新,糾正了極端情況下的靜態(tài)時序問題。

更新包括糾正了 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的互連延遲以及速度/溫度等級。

解決方案

對于 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件以及速度/溫度等級設(shè)計,請使用 Vivado Design Suite 2020.1.1 或更高版本。

對于使用 Vivado 工具 2019.1.1 - 2020.1 構(gòu)建并已部署的 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件以及速度/溫度等級設(shè)計,賽靈思認(rèn)為,根據(jù)賽靈思器件特性,在 Vivado 工具 2019.1.1 - 2020.1 中滿足時序約束的大部分設(shè)計都具有足夠的裕度以供在量產(chǎn)器件中正常運行。

您可通過以下方式使用 Vivado 工具 2020.1.1 或更高版本來評估時序問題對于您使用 Vivado 工具 2019.1.1 - 2020.1 所構(gòu)建的設(shè)計產(chǎn)生的影響:在 Vivado 工具 2020.1.1 或更高版本中,對已完全實現(xiàn)的設(shè)計檢查點 (.dcp) 文件重新運行時序分析。

評估步驟:

如果您的比特流是使用 Vivado 工程模式生成的,則必須找到已完全實現(xiàn)的 .dcp 文件。

通常,已完全實現(xiàn)的 .dcp 文件應(yīng)位于如下某一路徑中,具體取決于布線后是否已啟用 phys_opt_design。

project_myDesign.runs/impl_1/myDesign_routed.dcp

project_myDesign.runs/impl_1/myDesign_postroute_physopt.dcp

例如,如果已完全實現(xiàn)的 .dcp 文件為 myDesign_routed.dcp,則上述命令應(yīng)如下所示:

#Open the final dcp for the finished design open_checkpoint project_myDesign.runs/impl_1/myDesign_routed.dcp #Report timing report_timing_summary -file myDesign_timing_summary_routed.rpt

如果出現(xiàn)時序違例,則必須在 Vivado 工具 2020.1.1 或更高版本中對設(shè)計進行重新編譯以達(dá)成時序收斂。

用戶可以重新運行 route_design 步驟,或者也可以重新運行完整的實現(xiàn)過程。

原文標(biāo)題:面向 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的 Vivado 2020.1.1 量產(chǎn)速度文件更新

文章出處:【微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    812

    瀏覽量

    66482

原文標(biāo)題:面向 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的 Vivado 2020.1.1 量產(chǎn)速度文件更新

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    MES工具更新信息 04/2024

    我們剛剛發(fā)布了最新的MES模賽思工具更新。MXAM、MoRe和MQC的最新版本已經(jīng)為您下載安裝做好了準(zhǔn)備。 下面列出了工具亮點的簡要概述和發(fā)行說明。同時也歡迎您前往官網(wǎng)查看我們的新功能演示視頻,我們的開發(fā)團隊為您詳細(xì)介紹并演示了
    的頭像 發(fā)表于 11-13 14:46 ?203次閱讀
    MES<b class='flag-5'>工具</b><b class='flag-5'>更新</b>信息 04/2024

    希姆計算的RISC-V矩陣擴展開源項目升級0.5版本,支持向量+矩陣的實現(xiàn)

    希姆計算的RISC-V矩陣擴展開源項目升級0.5版本-----支持向量+矩陣的實現(xiàn)范福杰博士&陳煒博士項目背景Background為了解決RISC-V在人工智能領(lǐng)域中指令碎片化
    的頭像 發(fā)表于 11-12 01:08 ?623次閱讀
    希姆計算的RISC-<b class='flag-5'>V</b>矩陣擴展開源項目<b class='flag-5'>已</b>升級<b class='flag-5'>至</b>0.5版本,支持向量+矩陣的實現(xiàn)

    JESD79-5C_v1.30-2024 內(nèi)存技術(shù)規(guī)范

    JESD79-5C_v1.30-2024 JEDEC DDR5 SOLID STATE TECHNOLOGY ASSOCIATION 最新內(nèi)存技術(shù)規(guī)范
    發(fā)表于 10-28 10:29 ?13次下載

    Vivado使用小技巧

    有時我們對時序約束進行了一些調(diào)整,希望能夠快速看到對應(yīng)的時序報告,而又不希望重新布局布線。這時,我們可以打開布線后的dcp,直接在Vivado Tcl Console里輸入更新后的時序約束。如果調(diào)整
    的頭像 發(fā)表于 10-24 15:08 ?309次閱讀
    <b class='flag-5'>Vivado</b>使用小技巧

    實時網(wǎng)絡(luò)的仿真和配置工具RTaW Pegase v4.6版本更新

    隨著嵌入式系統(tǒng)日益復(fù)雜,高效可靠的設(shè)計工具變得愈發(fā)重要。RTaW公司的仿真工具RTaW-Pegase最新發(fā)布的4.6版本,為用戶帶來了一系列重要更新和功能增強。本文將詳細(xì)介紹
    的頭像 發(fā)表于 09-26 08:07 ?250次閱讀
    實時網(wǎng)絡(luò)的仿真和配置<b class='flag-5'>工具</b>RTaW Pegase <b class='flag-5'>v</b>4.6版本<b class='flag-5'>更新</b>

    Vivado 2024.1版本的新特性(1)

    Vivado 2024.1正式發(fā)布,今天我們就來看看新版本帶來了哪些新特性。
    的頭像 發(fā)表于 09-18 10:30 ?1307次閱讀
    <b class='flag-5'>Vivado</b> 2024.1版本的新特性(1)

    請問ESP8266模塊的MAC地址是怎么編寫的?

    問題的來龍去脈: 以前使用nonos v1.30版本的SDK,燒寫工具上提示的AP MAC和STA MAC,與程序中獲取到的MAC地址是一致的。 后來更換SDK的版本 nonos v
    發(fā)表于 07-12 15:35

    Vivado 使用Simulink設(shè)計FIR濾波器

    vivado工程 System Generator提供了幾種導(dǎo)入方法: 1、直接生成hdl網(wǎng)表文件。 生成的.v或.vhd文件直接例化dsp的IP核,用戶可直接將代碼文件添加自己的工程內(nèi)
    發(fā)表于 04-17 17:29

    微軟延遲 Windows 11 22H2 更新 6 月 26 日?

    據(jù)悉,微軟依照慣例于每月第四周推送可選更新,該更新內(nèi)容會下月合并補丁星期二活動日的累計更新中,鼓勵用戶進行修復(fù)和功能測試。
    的頭像 發(fā)表于 03-28 14:34 ?575次閱讀

    和美精藝IPO狀態(tài)更新問詢

    2024年1月25日,深圳和美精藝半導(dǎo)體科技股份有限公司(以下簡稱“和美精藝”)在上交所科創(chuàng)板的上市審核狀態(tài)更新為“問詢”。該公司自2007年成立以來,始終專注于IC封裝基板的研發(fā)、生產(chǎn)及銷售,是國內(nèi)少數(shù)幾家全面掌握自主可控
    的頭像 發(fā)表于 02-29 16:40 ?1254次閱讀

    谷歌宣布停止&quot;非A/B&quot;更新支持,三星等廠商面臨更新的調(diào)整

    對于熟悉谷歌Pixel手機的消費者來說,“無縫更新”并不陌生。利用活動分區(qū)和非活動分區(qū)執(zhí)行后臺更新,當(dāng)更新完畢后進行重啟,手機會自動切換
    的頭像 發(fā)表于 02-22 09:51 ?532次閱讀

    關(guān)于MounRiverStudio的改善

    的hex文件進行燒錄。 我發(fā)郵件咨詢過MRS的技術(shù)支持,說是下版本的燒錄功能會更加自動化,一個工程配置一次就可以一鍵燒錄最新輸出文件了。 不過現(xiàn)在MRS v1.30版本更新了,燒錄功能終于優(yōu)化了。
    發(fā)表于 02-12 20:33

    RL78/G23數(shù)據(jù)表Rev.1.30

    電子發(fā)燒友網(wǎng)站提供《RL78/G23數(shù)據(jù)表Rev.1.30.pdf》資料免費下載
    發(fā)表于 02-02 09:36 ?0次下載
    RL78/G23數(shù)據(jù)表Rev.<b class='flag-5'>1.30</b>

    使用P4和Vivado工具簡化數(shù)據(jù)包處理設(shè)計

    電子發(fā)燒友網(wǎng)站提供《使用P4和Vivado工具簡化數(shù)據(jù)包處理設(shè)計.pdf》資料免費下載
    發(fā)表于 01-26 17:49 ?0次下載
    使用P4和<b class='flag-5'>Vivado</b><b class='flag-5'>工具</b>簡化數(shù)據(jù)包處理設(shè)計

    Vivado 2023.2版本的新增功能

    Vivado在前一段時間更新了2023.2版本,經(jīng)過一段時間的使用這個版本還是很絲滑的,用起來挺舒服。
    的頭像 發(fā)表于 01-02 09:39 ?3244次閱讀
    <b class='flag-5'>Vivado</b> 2023.2版本的新增功能
    主站蜘蛛池模板: 国产伦精品一区二区免费| 菠萝菠萝蜜在线观看视频| 啊轻点灬大JI巴又大又粗| 国产成人精品午夜福麻豆报告| 好吊射视频988gaocom| 嗯啊不要老师| 亚州AV人片一区二区三区99久| 97免费人妻在线观看| 国产精品视频一区二区猎奇| 看 视频一一级毛片| 色欲人妻无码AV精品一区二区| 优菈的乳液狂飙天堂W98| 粗暴玩烂货调教| 久久视频在线视频| 善良的小峓子2在钱中文版女主角| 又黄又爽又无遮挡在线观看免费| 成年女人免费影院播放| 精品视频网站| 色欲狠狠躁天天躁无码中文字幕 | 不戴套挺进人妻怀孕| 娇喘高潮教室h| 色翁荡熄月月| 中文字幕在线观看网址| 国产精品青青青高清在线密亚| 免费观看99热只有精品| 亚洲精品久久久久中文字幕二区| 成人 迅雷下载| 麻豆精品无码久久久久久久久| 侮辱丰满美丽的人妻| brazzers欧美最新版视频| 精品亚洲一区二区三区在线播放| 揉抓捏打抽插射免费视频| 4484在线观看视频| 久久99re66热这里只有精品| 少妇久久久久久被弄高潮| 99久酒店在线精品2019| 久久福利影院| 亚洲精品乱码久久久久久v| 高挑人妻无奈张开腿| 欧美性色xo影院69| 34g污奶绵uk甩奶|