色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA設(shè)計(jì)中兩種IO約束:管腳約束,延遲約束

454398 ? 來源:科學(xué)計(jì)算technomania ? 作者:貓叔 ? 2020-10-30 16:08 ? 次閱讀

I/O約束

I/O約束是必須要用的約束,又包括管腳約束和延遲約束。

管腳約束

管腳約束就是指管腳分配,我們要指定管腳的PACKAGE_PIN和IOSTANDARD兩個(gè)屬性的值,前者指定了管腳的位置,后者指定了管腳對(duì)應(yīng)的電平標(biāo)準(zhǔn)。

在vivado中,使用如下方式在xdc中對(duì)管腳進(jìn)行約束。

set_property -dict {PACKAGE_PIN AJ16  IOSTANDARD  LVCMOS18} [get_ports "led[0]"    ]

在Vivado規(guī)定,必須要指定管腳電平,不然在最后一步生成比特流時(shí)會(huì)出錯(cuò)。

除了管腳位置和電平,還有一個(gè)大家容易忽略但很容易引起錯(cuò)誤的就是端接,當(dāng)我們使用差分電平時(shí)比如LVDS,在在V6中我們使用IBUFDS來處理輸入的差分信號(hào)時(shí),可以指定端接為TRUE。

   IBUFDS #(
      .DIFF_TERM("TRUE"),       // Differential Termination
      .IOSTANDARD("DEFAULT")     // Specify the input I/O standard
   ) IBUFDS_inst (
      .O(O),  // Buffer output
      .I(I),  // Diff_p buffer input (connect directly to top-level port)
      .IB(IB) // Diff_n buffer input (connect directly to top-level port)
   );

但在Ultrascale中的IBUFDS,卻把端接這個(gè)選項(xiàng)去掉了

IBUFDS #(

      .DQS_BIAS("FALSE")  // (FALSE, TRUE)
   )
   IBUFDS_inst (
      .O(O),   // 1-bit output: Buffer output
      .I(I),   // 1-bit input: Diff_p buffer input (connect directly to top-level port)
      .IB(IB)  // 1-bit input: Diff_n buffer input (connect directly to top-level port)
   );

我們必須要在xdc或I/O Pors界面中,手動(dòng)指定,否則可能會(huì)出錯(cuò)。

筆者之前就采過一個(gè)坑,差分端口輸入,當(dāng)連續(xù)輸入的數(shù)據(jù)為11101111這種時(shí),中間那個(gè)0拉不下來,還是1,同樣也會(huì)發(fā)生在000010000,這樣就導(dǎo)致數(shù)據(jù)傳輸錯(cuò)誤,后來才發(fā)現(xiàn)是端接忘記加。因?yàn)槎私訒?huì)影響信號(hào)的實(shí)際電平,導(dǎo)致FPGA判斷錯(cuò)誤。

當(dāng)綜合完成后,我們可以點(diǎn)擊DRC,進(jìn)行設(shè)計(jì)規(guī)則檢查,這一步可以報(bào)出一些關(guān)鍵問題,比如時(shí)鐘端口未分配在時(shí)鐘引腳上等。

延遲約束

延遲約束用的是set_input_delay和set_output_delay,分別用于input端和output端,其時(shí)鐘源可以是時(shí)鐘輸入管腳,也可以是虛擬時(shí)鐘。但需要注意的是,這個(gè)兩個(gè)約束并不是起延遲的作用,具體原因下面分析。

set_input_delay

這個(gè)約束跟ISE中的OFFSET=IN功能相同,但設(shè)置方式不同。下圖所示即為input delay的約束說明圖。

從圖中很容易理解,

T_inputdelay = Tco + TD

當(dāng)滿足圖中的時(shí)序時(shí),最大延遲為2ns,最小延遲為1ns。

因此,需要加的時(shí)序約束為:

create_clock -name sysclk -period 10 [get_ports clkin]
set_input_delay 2 -max -clock sysclk [get_ports Datain]
set_input_delay 1 -min -clock sysclk [get_ports Datain]
set_output_delay

set_output_delay的用法跟set_input_delay十分相似,這里就不再展開講了。我們上面講set_input_delay的描述中,大家可以看到,這個(gè)約束是告訴vivado我們的輸入信號(hào)和輸入時(shí)鐘之間的延遲關(guān)系,跟下面要講的時(shí)鐘周期約束是一個(gè)原理,讓vivado在這個(gè)前提下去Place and Route。并不是調(diào)節(jié)輸入信號(hào)的延遲,因?yàn)樯磉呌胁簧俚腇PGA工程師在沒用過這個(gè)約束指令之前,都以為這是調(diào)節(jié)延遲的約束。

如果要調(diào)整輸入信號(hào)的延遲,只能使用IDELAY,在V6中,IDELAY模塊有32個(gè)tap值,每個(gè)tap可延遲78ps,這樣總共差不多是2.5ns。

編輯:hfy

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1629

    文章

    21729

    瀏覽量

    603009
  • DRC
    DRC
    +關(guān)注

    關(guān)注

    2

    文章

    148

    瀏覽量

    36158
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    812

    瀏覽量

    66472
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    時(shí)序約束一主時(shí)鐘與生成時(shí)鐘

    一、主時(shí)鐘create_clock 1.1 定義 主時(shí)鐘是來自FPGA芯片外部的時(shí)鐘,通過時(shí)鐘輸入端口或高速收發(fā)器GT的輸出引腳進(jìn)入FPGA內(nèi)部。對(duì)于賽靈思7系列的器件,主時(shí)鐘必須手動(dòng)定義到GT
    的頭像 發(fā)表于 11-29 11:03 ?293次閱讀
    時(shí)序<b class='flag-5'>約束</b>一主時(shí)鐘與生成時(shí)鐘

    常用時(shí)序約束使用說明-v1

    為了防止約束失敗,我們?cè)赥cl輸入框驗(yàn)證,沒有告警或者錯(cuò)誤說明約束的寫法是正確的set_max_delay 5.00 -from [get_cells key2_detect_inst/state
    的頭像 發(fā)表于 11-01 11:06 ?181次閱讀

    與非門構(gòu)成的基本RS觸發(fā)器的約束條件是什么

    與非門構(gòu)成的RS觸發(fā)器是一基本的數(shù)字邏輯電路,用于存儲(chǔ)一位二進(jìn)制信息。它由個(gè)輸入端(R和S),個(gè)輸出端(Q和Q'),以及個(gè)與非門組成。R代表設(shè)置(Set),S代表復(fù)位(Rese
    的頭像 發(fā)表于 10-18 11:15 ?1176次閱讀

    電路的約束指的是哪

    電路的約束通常指的是電氣約束和物理約束。這約束在電路設(shè)計(jì)和分析
    的頭像 發(fā)表于 08-25 09:34 ?851次閱讀

    深度解析FPGA的時(shí)序約束

    建立時(shí)間和保持時(shí)間是FPGA時(shí)序約束個(gè)最基本的概念,同樣在芯片電路時(shí)序分析也存在。
    的頭像 發(fā)表于 08-06 11:40 ?661次閱讀
    深度解析<b class='flag-5'>FPGA</b><b class='flag-5'>中</b>的時(shí)序<b class='flag-5'>約束</b>

    兩種SR鎖存器的約束條件

    基本約束條件: SR鎖存器是一基本的數(shù)字邏輯電路,用于存儲(chǔ)一位二進(jìn)制信息。它有個(gè)輸入端:S(Set)和R(Reset),以及個(gè)輸出端:Q和Q'(Q的反相)。以下是SR鎖存器的基本
    的頭像 發(fā)表于 07-23 11:34 ?973次閱讀

    FPGA 高級(jí)設(shè)計(jì):時(shí)序分析和收斂

    完成后, 設(shè)計(jì)者要根據(jù)電路板的走線對(duì) FPGA/CPLD 加上引腳位置約束,使 FPGA/CPLD 與電路板正確連接。另外通過約束還可以指定 IO
    發(fā)表于 06-17 17:07

    加法進(jìn)位鏈的手動(dòng)約束

    在激光雷達(dá),使用FPGA實(shí)現(xiàn)TDC時(shí)需要手動(dòng)約束進(jìn)位鏈的位置。這里簡(jiǎn)單記錄下。 在outflow下會(huì)生成一個(gè).qplace文件?。用于指示布線的各個(gè)原語資源的分布位置 。 它的內(nèi)容主是 是原語
    的頭像 發(fā)表于 05-20 11:38 ?1298次閱讀
    加法進(jìn)位鏈的手動(dòng)<b class='flag-5'>約束</b>

    Xilinx FPGA編程技巧之常用時(shí)序約束詳解

    今天給大俠帶來Xilinx FPGA編程技巧之常用時(shí)序約束詳解,話不多說,上貨。 基本的約束方法 為了保證成功的設(shè)計(jì),所有路徑的時(shí)序要求必須能夠讓執(zhí)行工具獲取。最普遍的三路徑以及
    發(fā)表于 05-06 15:51

    時(shí)序約束實(shí)操

    添加約束的目的是為了告訴FPGA你的設(shè)計(jì)指標(biāo)及運(yùn)行情況。在上面的生成約束之后,在Result àxx.sdc中提供約束參考(請(qǐng)注意該文件不能直接添加到工程
    的頭像 發(fā)表于 04-28 18:36 ?2288次閱讀
    時(shí)序<b class='flag-5'>約束</b>實(shí)操

    Xilinx FPGA約束設(shè)置基礎(chǔ)

    LOC約束FPGA設(shè)計(jì)中最基本的布局約束和綜合約束,能夠定義基本設(shè)計(jì)單元在FPGA芯片中的位置,可實(shí)現(xiàn)絕對(duì)定位、范圍定位以及區(qū)域定位。
    發(fā)表于 04-26 17:05 ?1185次閱讀
    Xilinx <b class='flag-5'>FPGA</b>的<b class='flag-5'>約束</b>設(shè)置基礎(chǔ)

    Xilinx FPGA編程技巧之常用時(shí)序約束詳解

    今天給大俠帶來Xilinx FPGA編程技巧之常用時(shí)序約束詳解,話不多說,上貨。 基本的約束方法為了保證成功的設(shè)計(jì),所有路徑的時(shí)序要求必須能夠讓執(zhí)行工具獲取。最普遍的三路徑以及
    發(fā)表于 04-12 17:39

    介紹一新的可以約束光的納米級(jí)領(lǐng)結(jié)結(jié)構(gòu)

    結(jié)合自下而上和自上而下兩種方法,利用兩種表面力,制備出可以用來約束光的、原子級(jí)尺度的領(lǐng)結(jié)型間隙,在電子學(xué)、納米機(jī)器人、傳感器、量子技術(shù)等領(lǐng)域具有巨大潛力。
    的頭像 發(fā)表于 01-23 10:26 ?434次閱讀
    介紹一<b class='flag-5'>種</b>新的可以<b class='flag-5'>約束</b>光的納米級(jí)領(lǐng)結(jié)結(jié)構(gòu)

    機(jī)器人運(yùn)動(dòng)學(xué)的非完整約束與運(yùn)動(dòng)模型推導(dǎo)

    機(jī)器人運(yùn)動(dòng)學(xué)的運(yùn)動(dòng)學(xué)約束是指機(jī)器人在運(yùn)動(dòng)過程受到的限制,包括位置、姿態(tài)、速度和加速度等因素。這些約束會(huì)對(duì)機(jī)器人的自由度產(chǎn)生影響,從而影響機(jī)器人的運(yùn)動(dòng)和控制。運(yùn)動(dòng)學(xué)
    的頭像 發(fā)表于 01-18 16:45 ?1868次閱讀
    機(jī)器人運(yùn)動(dòng)學(xué)<b class='flag-5'>中</b>的非完整<b class='flag-5'>約束</b>與運(yùn)動(dòng)模型推導(dǎo)

    FPGA物理約束之布局約束

    能夠保持穩(wěn)定不變,使用增量式編譯是一選擇,而使用布局約束是另一更靈活的選擇。此時(shí)的布局約束,通常不會(huì)針對(duì)用戶邏輯部分,而是針對(duì)一些相對(duì)固定的片內(nèi)存儲(chǔ)器或乘法器,基于第一次編譯的結(jié)果
    的頭像 發(fā)表于 01-02 14:13 ?1499次閱讀
    <b class='flag-5'>FPGA</b>物理<b class='flag-5'>約束</b>之布局<b class='flag-5'>約束</b>
    主站蜘蛛池模板: 亚洲欧洲日本无在线码播放| 国产跪地吃黄金喝圣水合集| 久久偷拍国2017的| 亚洲国产日韩欧美高清片a| 国产不卡视频在线观看| 欧美囗交xx bbb视频| 91天堂国产在线 在线播放| 久久久精品久久久久特色影视| 亚洲精品m在线观看| 国产精品嫩草影院| 丝瓜涩涩屋黄瓜香蕉丝瓜| 超碰国产人人做人人爽| 欧美日韩高清一区二区三区| 最近中文字幕MV免费看| 久久久久亚洲| 中文字幕1| 美女扒开尿口让男生添动态图| 在线观看成人免费| 久久久欧美国产精品人妻噜噜| 一个人看的WWW高清电影| 精品无码国产自产在线观看| 亚洲精品视频在线免费| 护士WC女子撒尿| 野花日本大全免费高清完整版| 精品一区二区免费视频蜜桃网 | 俄罗斯XXXXXL18| 色99久久久久高潮综合影院| 大稥焦伊人一本dao| 视频一区亚洲中文字幕| 国产精品第十页| 校园全肉高h湿一女多男| 国产婷婷色综合AV蜜臀AV| 丫鬟粗大狠狠贯穿h| 黄色亚洲片| 在线观看中文| 免费又黄又硬又爽大片| fyeex性欧美人与曾| 日本少妇内射视频播放舔| 国产成人在线视频| 亚洲精品成人无码区一在线观看| 精品国产99久久久久久麻豆|