色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

FPGA案例之衍生時鐘約束

454398 ? 來源:科學計算technomania ? 作者:貓叔 ? 2020-11-17 16:28 ? 次閱讀

約束衍生時鐘

系統中有4個衍生時鐘,但其中有兩個是MMCM輸出的,不需要我們手動約束,因此我們只需要對clk_samp和spi_clk進行約束即可。約束如下:

create_generated_clock -name clk_samp -source [get_pins clk_gen_i0/clk_core_i0/clk_tx] -divide_by 32 [get_pins clk_gen_i0/BUFHCE_clk_samp_i0/O]
create_generated_clock -name spi_clk -source [get_pins dac_spi_i0/out_ddr_flop_spi_clk_i0/ODDR_inst/C] -divide_by 1 -invert [get_ports spi_clk_pin]

這里需要注意的是,如果該約束中使用get_pins(即產生的時鐘并非輸出到管腳),那么無論是source的時鐘還是我們衍生的時鐘,在get_pins后面的一定是這個時鐘最初的產生位置。在視頻中我們會具體展示)。

我們再運行report_clocks,顯示如下:

我們在理論篇的“create_generated_clock”一節中講到,我們可以重新設置Vivado自動生成的衍生時鐘的名字,這樣可以更方便我們后續的使用。按照前文所講,只需設置name和source參數即可,其中這個source可以直接從report_clocks中得到,因此我們的約束如下:

create_generated_clock -name clk_tx -source [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1] [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT1]
create_generated_clock -name clk_rx -source [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1] [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT0]

大家可以對比下report_clocks的內容和約束指令,很容易就能看出它們之間的關系。

把上述的約束指令在tcl中運行后,我們再運行一遍report_clocks,顯示如下:

在時序樹的分析中,我們看到,clk_samp和clk2兩個異步時鐘之間存在數據交互,因此要進行約束,如下:

set_clock_groups -asynchronous -group [get_clocks clk_samp] -group [get_clocks clk2]
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1629

    文章

    21729

    瀏覽量

    603005
  • Vivado
    +關注

    關注

    19

    文章

    812

    瀏覽量

    66472
收藏 人收藏

    評論

    相關推薦

    FPGA的IO口時序約束分析

      在高速系統中FPGA時序約束不止包括內部時鐘約束,還應包括完整的IO時序約束和時序例外約束
    發表于 09-27 09:56 ?1737次閱讀

    FPGA時鐘約束詳解 Vivado添加時序約束方法

    FPGA設計中,時序約束的設置對于電路性能和可靠性都至關重要。在上一篇的文章中,已經詳細介紹了FPGA時序約束的基礎知識。
    發表于 06-06 18:27 ?1.1w次閱讀
    <b class='flag-5'>FPGA</b>主<b class='flag-5'>時鐘</b><b class='flag-5'>約束</b>詳解 Vivado添加時序<b class='flag-5'>約束</b>方法

    FPGA時序約束衍生時鐘約束時鐘分組約束

    FPGA設計中,時序約束對于電路性能和可靠性非常重要。在上一篇的文章中,已經詳細介紹了FPGA時序約束的主時鐘
    發表于 06-12 17:29 ?2712次閱讀

    FPGA時序約束偽路徑和多周期路徑

    前面幾篇FPGA時序約束進階篇,介紹了常用主時鐘約束衍生時鐘
    發表于 06-12 17:33 ?1792次閱讀

    FPGA時序約束建立時間和保持時間

    FPGA中時序約束是設計的關鍵點之一,準確的時鐘約束有利于代碼功能的完整呈現。進行時序約束,讓軟件布局布線后的電路能夠滿足使用的要求。
    發表于 08-14 17:49 ?1440次閱讀
    <b class='flag-5'>FPGA</b>時序<b class='flag-5'>約束</b><b class='flag-5'>之</b>建立時間和保持時間

    FPGA時鐘周期約束講解

    時鐘周期約束是用于對時鐘周期的約束,屬于時序約束中最重要的約束之一。
    發表于 08-14 18:25 ?882次閱讀

    FPGA全局時鐘約束(Xilinx版本)

    FPGA上的全局時鐘管腳用完了就出現不夠用的情況。FPGA全局時鐘約束(Xilinx版本)[hide][/hide]
    發表于 02-29 09:46

    FPGA實戰演練邏輯篇56:VGA驅動接口時序設計3時鐘約束

    VGA驅動接口時序設計3時鐘約束本文節選自特權同學的圖書《FPGA設計實戰演練(邏輯篇)》配套例程下載鏈接:http://pan.baidu.com/s/1pJ5bCtt 如圖8.2
    發表于 07-30 22:07

    FPGA時鐘約束問題

    FPGA的DCM模塊,40MHz時鐘輸入,得到clkout1 40MHz,clkout2 60MHz,clkout1 120MHz。對40MHz時鐘添加了約束,系統不是會自動對三個輸出
    發表于 05-25 15:06

    FPGA設計約束技巧XDC約束I/O篇(下)

    XDC中的I/O約束雖然形式簡單,但整體思路和約束方法卻與UCF大相徑庭。加之FPGA的應用特性決定了其在接口上有多種構建和實現方式,所以從UCF到XDC的轉換過程中,最具挑戰的可以說便是本文將要
    發表于 11-17 19:01 ?7399次閱讀
    <b class='flag-5'>FPGA</b>設計<b class='flag-5'>約束</b>技巧<b class='flag-5'>之</b>XDC<b class='flag-5'>約束</b><b class='flag-5'>之</b>I/O篇(下)

    FPGA設計之時鐘約束操作

    確定了主時鐘衍生時鐘后,再看各個時鐘是否有交互,即clka產生的數據是否在clkb的時鐘域中被使用。
    發表于 04-06 10:20 ?4987次閱讀

    簡述FPGA時鐘約束時鐘余量超差解決方法

    在設計FPGA項目的時候,對時鐘進行約束,但是因為算法或者硬件的原因,都使得時鐘約束出現超差現象,接下來主要就是解決
    的頭像 發表于 10-11 14:52 ?3528次閱讀
    簡述<b class='flag-5'>FPGA</b><b class='flag-5'>時鐘</b><b class='flag-5'>約束</b><b class='flag-5'>時鐘</b>余量超差解決方法

    FPGA編程技巧系列輸入輸出偏移約束詳解

    Pad-to-Setup:也被稱為OFFSET IN BEFORE約束,是用來保證外部輸入時鐘和外部輸入數據的時序滿足FPGA內部觸發器的建立時間要求的。如下圖TIN_BEFORE約束
    的頭像 發表于 02-15 11:52 ?2188次閱讀

    FPGA設計衍生時鐘約束時鐘分組約束設置

    FPGA設計中,時序約束對于電路性能和可靠性非常重要。
    發表于 06-26 14:53 ?1651次閱讀
    <b class='flag-5'>FPGA</b>設計<b class='flag-5'>衍生</b><b class='flag-5'>時鐘</b><b class='flag-5'>約束</b>和<b class='flag-5'>時鐘</b>分組<b class='flag-5'>約束</b>設置

    時序約束一主時鐘與生成時鐘

    一、主時鐘create_clock 1.1 定義 主時鐘是來自FPGA芯片外部的時鐘,通過時鐘輸入端口或高速收發器GT的輸出引腳進入
    的頭像 發表于 11-29 11:03 ?293次閱讀
    時序<b class='flag-5'>約束</b>一主<b class='flag-5'>時鐘</b>與生成<b class='flag-5'>時鐘</b>
    主站蜘蛛池模板: 成人免费视频一区| 国内精品久久久久久久999下| 女同给老师下媚药| 欧洲美女人 一级毛片| 亚洲中文字幕欧美自拍一区| 国产精品97久久AV色婷婷综合| 让人爽到湿的小黄书| WW.国产人妻人伦精品| 久久久久久久网| 国产中文在线| 精品无码久久久久久久动漫| 精品亚洲永久免费精品| 九九热视频免费观看| 久久99国产精品二区不卡| 久久内在线视频精品mp4| 久久亚洲AV无码精品午色夜麻豆| 嫩草影院在线观看网站成人| 全文都是肉高h文| 污污内射久久一区二区欧美日韩| 无码人妻丰满熟妇区五十路久久| 新香蕉少妇视频网站| 亚洲精品福利一区二区在线观看| 亚洲精品在线看| 一级毛片在线免费视频| 91精品国产91热久久p| gogogo在线观看| 亚洲欧美国产视频| 2012中文字幕手机在线| 黄色三级图片| 亚洲伊人久久一次| 久久久97丨国产人妻熟女| 91黄色影院| 日本xxxx96| 国产视频成人| 亚洲综合中文字幕无线码| 麻豆国产精品va在线观看约| 99在线观看视频| 欧美夜夜噜2017最新| 丰满的女朋友韩国版在线观看| 麻豆免费观看高清完整视频| RUNAWAY韩国动漫免费网|