色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

關于MIG IP核控制DDR3讀寫測試案例解析

454398 ? 來源:博客園 ? 作者:沒落騎士 ? 2020-10-29 14:44 ? 次閱讀

本文設計思想采用明德揚至簡設計法。在高速信號處理場合下,很短時間內就要緩存大量的數據,這時片內存儲資源已經遠遠不夠了。DDR SDRAM因其極高的性價比幾乎是每一款中高檔FPGA開發板的首選外部存儲芯片。DDR操作時序非常復雜,之所以在FPGA開發中用途如此廣泛,都要得意于MIG IP核。網上關于MIG控制DDR的資料很多,因此本文只講述個人認為較重要的內容。由于MIG IP核用戶接口時序較復雜,這里給出擴展接口模塊用于進一步簡化接口時序。

先來看看MIG IP核的架構:

了解下存儲芯片側重要接口:

ddr_addr DDR3的行列地址

ddr_ba DDR3的bank地址

ddr_cas_n ddr_ras_n ddr_we_n 命令控制

ddr_ck ddr_ck_n 差分時鐘

ddr_dm 數據輸入屏蔽

ddr_o_dt片上終端使能,用于使能和禁止片內終端電阻

ddr_reset_n DDR3復位

ddr_dqs ddr_dqs_n 數據同步信號

ddr_dq 傳輸數據

之后我們從IP核配置開始說起。Controller Options這頁最為重要,其中包括時鐘策略和外部DDR芯片參數配置。首先時鐘周期選擇為400MHz,此時PHY to Controller Clock Ratio只能是4:1,也就是說MIG用戶側時鐘為100MHz。下半部分是選擇合適的DDR芯片型號和參數,要再三確認無誤。

Memory Options這頁輸入時鐘周期選擇為200MHz,根據Controller Options頁的選項,該時鐘經過PLL分頻和倍頻后的時鐘分別作為用戶側時鐘100MHz和DDR接口時鐘400MHz。

這里有個參考時鐘選項,如果Memory Options頁PLL輸入時鐘頻率選為200MHz,此處可以直接選擇Use System Clock,從而簡化接口。

以上是MIG IP核配置過程中較為重要的部分,實際上上述配置也可通過修改工程代碼中參數來重定義。IP核配置完成,打開example design工程頂層文件,我們來重點關注下用戶側接口功能和時序。

這是本人寫的注釋,更具體清晰的說明還是要查看官方文檔UG586.接下來看看寫數據和讀數據的接口時序圖(時鐘比例4:1,burst length = 8為例):

指令通道:

寫數據:

從時序圖可以看出,指令地址和數據使用兩套時序,彼此相互獨立。為了便于設計,直接將兩套時序嚴格對齊(情況1)也可以正常工作。

讀數據:

為什么說“時鐘比例4:1,burst length = 8為例”?這一點特別關鍵。此時用戶時鐘周期是DDR接口時鐘周期的4倍,也就是一個用戶時鐘信號上升沿對應8個DDR時鐘邊沿。burst length可以理解為MIG連續操作DDR地址的個數,故在4:1時鐘比例下,一個用戶時鐘周期正好對8個地址進行了讀/寫操作,256bit數據分8次(32bit)寫入DDR中。由此分析,在寫數據時讓app_wdf_end = app_wdf_wren即可,并且讀/寫操作時地址遞增步長為8.

雖然MIG IP核提供了用戶接口,但讀寫指令通道復用且需要實時關注兩個rdy信號造成了時序操作上的不方便。為此我們需要對接口進一步封裝,保證寫操作時只關注:寫使能user_wdata_en 寫地址user_waddr 寫數據user_wdata和寫準備就緒信號user_wdata_rdy,讀操作時只關注:讀使能user_rdata_en 讀地址user_raddr 讀數據user_rdata 讀數據有效user_rdata_vld和讀操作準備就緒user_rdata_rdy。

利用擴展接口模塊,將讀通道和寫通道接口分離,并分別例化一個FIFO緩存地址和數據。當讀/寫指令同時有效時,通過MIG側的優先級輪換邏輯輪流讀取其中一個FIFO,每次選一個FIFO讀取直至FIFO為空再重新選擇。其工程結構和核心代碼如下:

讀側邏輯核心代碼:

 1 //讀側--------------------------------------------------------------
 2 
 3 always @(posedge clk or negedge rst_n )begin 
 4     if(rst_n==0) begin
 5         rd_flag <= (0)  ;
 6     end
 7     else if(rd_flag == 0 && mig_rdy && mig_wdf_rdy && !rdempty1 && (rdempty0 || (!rdempty0 && priority == 0)))begin
 8         rd_flag <= (2'b01)  ;//讀取 寫指令FIFO
 9     end 
10     else if(rd_flag == 0 && mig_rdy && !rdempty0 && (rdempty1 || (!rdempty1 && priority == 1)))begin
11         rd_flag <= (2'b10)  ;//讀取 讀指令FIFO
12     end 
13     else if((rd_flag == 2'b01 && rdempty1)||(rd_flag == 2'b10 && rdempty0))
14         rd_flag <= 0;
15 end
16 
17 //同時非空時輪換優先級
18 always @(posedge clk or negedge rst_n )begin 
19     if(rst_n==0) begin
20         priority <= (0)  ;
21     end
22     else if(rd_flag == 0 && !rdempty0 && !rdempty1)begin
23         priority <= (!priority)  ;
24     end 
25 end

為了方便測試,設計樣式生成模塊與擴展接口模塊用戶側連接,不斷向一段地址寫入固定數據序列并在一段時間后讀回。

  1 `timescale 1ns / 1ps
  2 /*
  3 該模塊功能:
  4 周期性向一段地址執行讀寫操作 產生固定樣式待寫入數據用戶測試目的
  5 測試完畢后刪除該模塊,開發用戶接口
  6 
  7 具體為:
  8 1 寫從0開始之后的10個用戶地址(80個DDR地址):0~9遞增序列
  9 2 等待20個時鐘周期
 10 3 讀取寫入的10個用戶地址
 11 4 等待20個時鐘周期
 12 5 重復上述步驟
 13 
 14 說明:
 15 1 每個步驟之間有一個時鐘周期空閑
 16 2 由于burst_len = 8 4:1時鐘模式下一個用戶時鐘周期寫入數據對應同樣時間內8個DDR時鐘邊沿寫入數據,
 17 因此地址遞增步長為8
 18 */
 19 module traffic_gen
 20 #(parameter DATA_WIDTH = 32,
 21             ADDR_WIDTH = 29)
 22 (
 23     input                           clk   ,
 24     input                           rst_n ,
 25 
 26     output reg                      gen_wdata_en ,
 27     output reg [ ADDR_WIDTH-1:0]    gen_waddr    ,
 28     output reg [ DATA_WIDTH-1:0]    gen_wdata ,
 29     input                           gen_wdata_rdy ,//寫指令和數據通道準備就緒
 30 
 31     output reg                      gen_rdata_en ,
 32     output reg [ ADDR_WIDTH-1:0]    gen_raddr    ,
 33     input      [ DATA_WIDTH-1:0]    gen_rdata     ,
 34     input                           gen_rdata_vld ,
 35     input                           gen_rdata_rdy //讀指令通道準備就緒
 36 );
 37 
 38    
 39 reg [ (8-1):0]  cnt0     ;
 40 wire        add_cnt0 ;
 41 wire        end_cnt0 ;
 42 reg [ (2-1):0]  cnt1     ;
 43 wire        add_cnt1 ;
 44 wire        end_cnt1 ;
 45 
 46 reg [ DATA_WIDTH-1:0]  gen_rdata_r     ;
 47 reg   gen_rdata_vld_r     ;
 48 reg    com_flag     ;
 49 
 50 wire wri_state;
 51 wire rd_state;
 52 wire com_change_t;
 53 
 54 //操作周期計數器,計數值為欲操作用戶地址段長度+1(需要一個時鐘周期空閑)
 55 always @(posedge clk or negedge rst_n) begin 
 56     if (rst_n==0) begin
 57         cnt0 <= 0; 
 58     end
 59     else if(add_cnt0) begin
 60         if(end_cnt0)
 61             cnt0 <= 0; 
 62         else
 63             cnt0 <= cnt0+1 ;
 64    end
 65 end
 66 assign add_cnt0 = (com_flag == 0 && gen_wdata_rdy) || (com_flag == 1 && gen_rdata_rdy);
 67 assign end_cnt0 = add_cnt0  && cnt0 == (30)-1 ;
 68 
 69 //指令標志位 先是0--寫 再是1--讀
 70 always @(posedge clk or negedge rst_n )begin 
 71     if(rst_n==0) begin
 72         com_flag <= (0)  ;
 73     end
 74     else if(com_change_t)begin
 75         com_flag <= (!com_flag)  ;
 76     end 
 77 end
 78 
 79 assign com_change_t = add_cnt0 && cnt0 == 10 - 1;
 80 
 81 //寫操作---------------------------------------------
 82 always @(posedge clk or negedge rst_n )begin 
 83     if(rst_n==0) begin
 84         gen_wdata_en <= (0)  ;
 85     end
 86     else if(wri_state)begin
 87         gen_wdata_en <= (1'b1)  ;
 88     end 
 89     else begin
 90         gen_wdata_en <= (0)  ;
 91     end 
 92 end
 93 
 94 assign wri_state = add_cnt0 && cnt0 <= 10-1 && com_flag == 0;
 95 assign rd_state  = add_cnt0 && cnt0 <= 10-1 && com_flag == 1;
 96 
 97 always @(posedge clk or negedge rst_n )begin 
 98     if(rst_n==0) begin
 99         gen_wdata <= (0)  ;
100     end
101     else begin
102         gen_wdata <= (cnt0)  ;
103     end 
104 end
105 
106 always@(posedge clk or negedge rst_n)begin
107     if(rst_n == 0)
108         gen_waddr <= 0;
109     else if(wri_state)
110         gen_waddr <= gen_waddr + 29'd8;
111     else 
112         gen_waddr <= 0;
113 end
114 //讀操作----------------------------------------------
115 
116 always @(posedge clk or negedge rst_n )begin 
117     if(rst_n==0) begin
118         gen_rdata_en <= (0)  ;
119     end
120     else if(rd_state)begin
121         gen_rdata_en <= (1'b1)  ;
122     end 
123     else begin
124         gen_rdata_en <= (0)  ;
125     end 
126 end
127 
128 always@(posedge clk or negedge rst_n)begin
129     if(rst_n == 0)
130         gen_raddr <= 0;
131     else if(rd_state)
132         gen_raddr <= gen_raddr + 29'd8;
133     else 
134         gen_raddr <= 0;
135 end
136 
137 always @(posedge clk or negedge rst_n )begin 
138     if(rst_n==0) begin
139         gen_rdata_r <= (0)  ;
140     end
141     else begin
142         gen_rdata_r <= (gen_rdata)  ;
143     end 
144 end
145 
146 always @(posedge clk or negedge rst_n )begin 
147     if(rst_n==0) begin
148         gen_rdata_vld_r <= (0)  ;
149     end
150     else if(gen_rdata_vld)begin
151         gen_rdata_vld_r <= (1'b1)  ;
152     end 
153     else begin
154         gen_rdata_vld_r <= (0)  ;
155     end 
156 end
157 
158 endmodule

將traffic_gen和extend_interface模塊例化在MIG的example design中,利用ILA抓取MIG IP核用戶接口信號。

向地址8~80寫入數據0~9,再從此段地址中讀回數據,0~9被正確讀出,MIG IP核控制DDR3讀寫測試完畢。
編輯:hfy

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1629

    文章

    21735

    瀏覽量

    603119
  • DDR3
    +關注

    關注

    2

    文章

    276

    瀏覽量

    42256
  • 信號處理
    +關注

    關注

    48

    文章

    1027

    瀏覽量

    103271
  • 存儲芯片
    +關注

    關注

    11

    文章

    897

    瀏覽量

    43140
  • MIG
    MIG
    +關注

    關注

    0

    文章

    12

    瀏覽量

    10979
收藏 人收藏

    評論

    相關推薦

    基于Arty Artix-35T FPGA開發板的DDR3mig介紹

    講解xilinx FPGA 使用mig IPDDR3讀寫控制,旨在讓大家更快的學習和應用DDR3
    的頭像 發表于 01-01 10:09 ?4221次閱讀
    基于Arty Artix-35T FPGA開發板的<b class='flag-5'>DDR3</b>和<b class='flag-5'>mig</b>介紹

    mig生成的DDRIP的問題

    請教各位大神,小弟剛學FPGA,現在在用spartan-3E的板子,想用上面的DDR SDRAM進行簡單的讀寫,用MIG生成DDR
    發表于 06-20 20:43

    cyclone V控制DDR3讀寫,quartusII配置DDR3 ip后,如何調用實現DDR3讀寫呢,謝謝

    DDR3IP核配置完畢后,產生了好多文件,請問如何調用這些文件實現DDR3讀寫呢?看了一些文章,說是要等到local_init_done為高電平后,才能進行
    發表于 01-14 18:15

    MIG IP管腳分配問題

    求助大神!!!FPGA對于DDR3讀寫,FPGA是virtex6系列配置MIG IP 時,需要管腳分配1.原理圖上dm是直接接地,管腳分配
    發表于 03-16 18:45

    基于FPGA的DDR3 SDRAM控制器的設計與優化

    不同使用情形下DDR3的帶寬利用率來尋求具有較高效率的讀寫控制方式。本測試計算帶寬效率的方式是,向IP
    發表于 08-02 09:34

    Xilinx:K7 DDR3 IP核配置教程

    ”。13.點擊“Generate”生成MIG控制器。四、生成文檔點擊“Generate”,生成MIG控制器相關的設計文檔。以上就是基于Xilinx 的K7
    發表于 12-19 14:36

    完成DDR3校準的MIG IP失敗的原因?

    大家好 我的問題是DDR3校準完成失敗。調試結果:dbg_wrcal_err = 1,通過波形,我們可以看到寫入模式不匹配。 我的問題是MIG IP Core配置中是否有任何參數可以調整它?或者我
    發表于 07-23 10:09

    ddr3讀寫分離方法有哪些?

    DDR3是目前DDR的主流產品,DDR3讀寫分離作為DDR最基本也是最常用的部分,本文主要闡述DDR3
    的頭像 發表于 11-06 13:44 ?8856次閱讀
    <b class='flag-5'>ddr3</b>的<b class='flag-5'>讀寫</b>分離方法有哪些?

    DDR3讀寫狀態機進行設計與優化并對DDR3利用率進行了測試與分析

    為解決超高速采集系統中的數據緩存問題,文中基于Xilinx Kintex-7 FPGA MIG_v1.9 IP進行了DDR3 SDRAM控制
    發表于 11-16 14:36 ?2.3w次閱讀
    對<b class='flag-5'>DDR3</b><b class='flag-5'>讀寫</b>狀態機進行設計與優化并對<b class='flag-5'>DDR3</b>利用率進行了<b class='flag-5'>測試</b>與分析

    基于FPGA的DDR3多端口讀寫存儲管理的設計與實現

    為了解決視頻圖形顯示系統中多個端口訪問DDR3的數據存儲沖突,設計并實現了基于FPGA的DDR3存儲管理系統。DDR3存儲器控制模塊使用MIG
    發表于 11-18 18:51 ?7135次閱讀
    基于FPGA的<b class='flag-5'>DDR3</b>多端口<b class='flag-5'>讀寫</b>存儲管理的設計與實現

    mig接口的讀寫時序

    對于migDDR3/DDR2 SDRAM的讀寫時序我們不需要了解太多,交給mig就可以了。
    發表于 03-03 11:11 ?6261次閱讀
    <b class='flag-5'>mig</b>接口的<b class='flag-5'>讀寫</b>時序

    關于Virtex7上DDR3測試例程詳解

    這篇文章我們講一下Virtex7上DDR3測試例程,Vivado也提供了一個DDR的example,但卻是純Verilog代碼,比較復雜,這里我們把DDR3
    的頭像 發表于 05-02 09:05 ?3455次閱讀
    <b class='flag-5'>關于</b>Virtex7上<b class='flag-5'>DDR3</b>的<b class='flag-5'>測試</b>例程詳解

    Virtex7上DDR3測試例程

    ??這篇文章我們講一下Virtex7上DDR3測試例程,Vivado也提供了一個DDR的example,但卻是純Verilog代碼,比較復雜,這里我們把DDR3
    的頭像 發表于 08-16 10:28 ?1915次閱讀

    基于AXI總線的DDR3讀寫測試

    本文開源一個FPGA項目:基于AXI總線的DDR3讀寫。之前的一篇文章介紹了DDR3簡單用戶接口的讀寫方式:《DDR3
    的頭像 發表于 09-01 16:20 ?4527次閱讀
    基于AXI總線的<b class='flag-5'>DDR3</b><b class='flag-5'>讀寫</b><b class='flag-5'>測試</b>

    基于FPGA的DDR3讀寫測試

    本文介紹一個FPGA開源項目:DDR3讀寫。該工程基于MIG控制IP核對FPGA DDR3實現
    的頭像 發表于 09-01 16:23 ?1655次閱讀
    基于FPGA的<b class='flag-5'>DDR3</b><b class='flag-5'>讀寫</b><b class='flag-5'>測試</b>
    主站蜘蛛池模板: 精品久久久久中文字幕| 亚洲 欧美 国产 综合不卡| 国产精品自在在线午夜蜜芽tv在线| 夜夜女人国产香蕉久久精品| 日本69xxxx| 两个奶头被吃得又翘又痛| 国产亚洲色婷婷久久精品99| YIN荡的老师系列第6部分视频| 亚洲无吗在线视频| 丝瓜视频在线免费| 青青青青草| 毛茸茸womansex| 精品一产品大全| 国产女人91精品嗷嗷嗷嗷| yellow日本动漫观看免费| 0855午夜福利伦理电影| 亚洲精品97福利在线| 十分钟视频影院免费| 青青草国产精品| 美女脱了内裤张开腿让男人桶到爽 | 免费国产成人手机在线观看| 国产专区青青在线视频| 国产 高清 无码 中文| Y8848高清私人影院软件优势| 中文字幕乱码在线人视频| 亚洲精品线在线观看| 羞羞答答的免费视频在线观看| 色综合久久五月| 神马电影院午 夜理论| 秋霞电影伦网理最新在线看片| 嗯 用力啊 嗯 c我 啊哈老师| 久久免费精品一区二区| 久久精品国产亚洲AV久五月天| 花蝴蝶在线观看免费中文版高清| 国产免费看片| 国产亚洲精品首页在线播放| 国产日韩成人内射视频| 国产亚洲精品久久久久| 国产在线播放KKK| 绞尽奶汁by菊花开| 九九久久国产精品大片|