色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

一起體驗Vivado 的ECO流程

YCqV_FPGA_EETre ? 來源:賽靈思中文社區論壇 ? 作者:Hong Han ? 2020-10-26 09:45 ? 次閱讀

作者:Hong Han,來源:賽靈思中文社區論壇

有時我們需要在設計網表的基礎上微調一下邏輯,這樣可以無需修改代碼,也無需重新做綜合,在設計調試中可以節省時間同時維持其他邏輯無任何改動。

這里帶大家一起體驗一下Vivado 的ECO流程,以vivado自帶的Example Design為例, 直接用TCL命令修改網表,在正常的寄存器路徑之間加一級LUT。

1. 打開Vivado 界面

2. 打開Example Design "Wavegen":

File -> Project -> Open Example

選中Wavegen(HDL), 器件選擇xcku035

3. 點擊左側Flow Navigator 窗口 Run Implementation 按鈕, 完成綜合實現.

4. 打開Implemented Design (點擊左側Flow Navigator 窗口 Open Implemented Design 按鈕)

5. 選一條兩個寄存器之間的路徑,運行以下命令,選中打印出的路徑,雙擊可以查看時序報告,F4 鍵可以打開這條路徑的原理圖

%report_timing -from [get_cells clkx_spd_i0/meta_harden_bus_new_i0/signal_meta_reg] -to [get_cells clkx_spd_i0/meta_harden_bus_new_i0/signal_dst_reg] -delay_type max -name test1

可以看到Data Path的布線延遲是0.504ns

路徑的原理圖

6. 把目的寄存器的D端從net上斷下來

%disconnect_net -net clkx_spd_i0/meta_harden_bus_new_i0/signal_meta_reg_n_0 -objects {clkx_spd_i0/meta_harden_bus_new_i0/signal_dst_reg/D}

在這里獲取操作對象(net, Pin) 的方法: 在原理圖中選中對象,然后查看走下角Property 窗口中的NAME 屬性

Pin被從Net上斷開后,會在原理圖上顯示n/c

7. 創建一個LUT1,并設置LUT的INIT property

%create_cell -reference LUT1clkx_spd_i0/meta_harden_bus_new_i0/my_lut1 %set_property INIT 2'h1 [get_cells clkx_spd_i0/meta_harden_bus_new_i0/my_lut1]

可以看到這個新創建的LUT1所有端口(Pin)都是懸空的. 接下來的步驟要將這些pin連接到合適的net上.

8. 把LUT1的輸入端口連接到之前斷開的net上.

%connect_net -net clkx_spd_i0/meta_harden_bus_new_i0/signal_meta_reg_n_0 -objects {clkx_spd_i0/meta_harden_bus_new_i0/my_lut1/I0}

9. 創建一個新的net用來連接LUT1的輸出pin和之前斷下來的寄存器D pin

%create_net clkx_spd_i0/meta_harden_bus_new_i0/my_net

10. 連接LUT1的輸出pin和之前斷下來的寄存器D pin 到新創建的net上

%connect_net -net clkx_spd_i0/meta_harden_bus_new_i0/my_net -objects {clkx_spd_i0/meta_harden_bus_new_i0/my_lut1/O clkx_spd_i0/meta_harden_bus_new_i0/signal_dst_reg/D}

11. 在Netlist窗口選窗口選中新建的LUT1,將其拖曳到Device中空著的slice LUT bel中

對應的命令:

place_cell clkx_spd_i0/meta_harden_bus_new_i0/my_lut1 SLICE_X52Y83/B6LUT

12. 對新的LUT1兩端的net進行布線

%route_design -nets [get_nets -of [get_pins clkx_spd_i0/meta_harden_bus_new_i0/my_lut1/*]]

13.檢查布線結果確保沒有布線錯誤

%report_route_status

14.用步驟5的命令重新報一下時序

15. 生成bit文件

%write_bitstream test.bit

原文標題:【干貨分享】用ECO腳本的方式在網表中插入LUT1

文章出處:【微信公眾號:FPGA開發圈】歡迎添加關注!文章轉載請注明出處。

責任編輯:haq

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 寄存器
    +關注

    關注

    31

    文章

    5336

    瀏覽量

    120230
  • ECO
    ECO
    +關注

    關注

    0

    文章

    52

    瀏覽量

    14885
  • Vivado
    +關注

    關注

    19

    文章

    812

    瀏覽量

    66472

原文標題:【干貨分享】用ECO腳本的方式在網表中插入LUT1

文章出處:【微信號:FPGA-EETrend,微信公眾號:FPGA開發圈】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    將UCC39002與3個PT4484模塊一起使用

    電子發燒友網站提供《將UCC39002與3個PT4484模塊一起使用.pdf》資料免費下載
    發表于 12-21 10:23 ?0次下載
    將UCC39002與3個PT4484模塊<b class='flag-5'>一起</b>使用

    Vivado之實現布局布線流程介紹

    、前言 本文將介紹Vivado進行綜合,以及布局布線的內部流程,熟悉該流程后結合Settings中對應的配置選項,對于時序收斂調試將更具有針對性。 二、Implementation(
    的頭像 發表于 12-06 09:08 ?300次閱讀
    <b class='flag-5'>Vivado</b>之實現布局布線<b class='flag-5'>流程</b>介紹

    請問tas5731m PBTL模式,單聲道輸出(AB連一起,CD連一起)如何實現左右聲道的混音輸出?

    請問tas5731m PBTL模式,單聲道輸出(AB連一起,CD連一起)如何實現左右聲道的混音輸出 還有開發軟件里能直接拉線么
    發表于 10-17 06:23

    將TPS23753A與外部誤差放大器一起使用

    電子發燒友網站提供《將TPS23753A與外部誤差放大器一起使用.pdf》資料免費下載
    發表于 10-10 10:23 ?0次下載
    將TPS23753A與外部誤差放大器<b class='flag-5'>一起</b>使用

    隔離電源的地能接在一起嗎,隔離電源能不能直接共地使用

    不能接在一起。在使用隔離電源時,需要將隔離電源的輸入和輸出端的地線分別接在接地柱和接地線上,而不能將它們接在一起。實際上,如果將隔離電源兩端的地線接在一起,會導致接地系統的干擾,降低系統的工作穩定性
    的頭像 發表于 10-01 16:27 ?1941次閱讀

    將5G信號鏈與電平轉換結合在一起

    電子發燒友網站提供《將5G信號鏈與電平轉換結合在一起.pdf》資料免費下載
    發表于 09-18 14:49 ?0次下載
    將5G信號鏈與電平轉換結合在<b class='flag-5'>一起</b>

    模擬地和電源地能接在一起

    模擬地和電源地是否能接在一起,取決于電子系統的具體要求和設計。在電子系統中,地(Ground)是個共同的參考點,用于構建電位參考平面。電源地是所有電源網絡的參考點,用于確保電源的穩定性和系統的正常工作。模擬地則與模擬電路相關,用于提供參考電位。
    的頭像 發表于 09-15 11:43 ?1136次閱讀

    DAC8771RGZ電流輸出端IOUT和電壓輸VOUT出端是連在一起的,是否可以不并在一起?

    請教下DAC8771RGZ這款芯片,看官方demo板,電流輸出端IOUT和電壓輸VOUT出端是連在一起的,是否可以不并在一起,分成兩路,單獨分別輸出電流或電壓嗎?
    發表于 08-08 07:59

    普通門電路的輸出端能否連在一起

    普通門電路的輸出端能否連在一起,取決于具體的應用場景和需求。普通門電路的輸出端能否連在一起個復雜的問題,涉及到數字電路設計、邏輯電路分析、信號完整性、電源管理等多個方面。 門電路的基本概念 在
    的頭像 發表于 07-30 15:13 ?855次閱讀

    可以將USB主機與Esp8266一起使用嗎?

    我可以將 USB 主機(USB A 型母頭)與 Esp8266 一起使用嗎? 為什么我不能使用它
    發表于 07-19 06:49

    如何將atoi與esp8266 sdk一起使用?

    有誰知道如何將 atoi 與 esp8266 sdk 一起使用?我似乎找不到可以提供它的頭文件。 I\'m using \"ESP8266_NONOS_SDK_V1.5.4_16_05_20\"
    發表于 07-09 07:59

    adc2和藍牙一起使用會異常的原因?

    ESP32的ADC2的通道0(gpio4)與藍牙一起使用時采集的ad值異常,而未開啟藍牙時ad值正常,請問adc2的通道0有使用限制還是怎么樣? 藍牙啟動以后ad采集值直接滿量程,而實際電壓還是剛上電時采集的電壓 藍牙未啟動時ad采集
    發表于 06-21 07:16

    六類網線可以和強電一起走嗎

    六類網線理論上不建議和強電一起走。從布線規范的角度來看,弱電線路和強電線路通常不建議共用同橋架,以避免潛在的電磁干擾。然而,多年的施工經驗表明,在某些情況下,強電線和弱電網線可能一起
    的頭像 發表于 04-19 09:55 ?5563次閱讀

    如何在AMD Vivado? Design Tool中用工程模式使用DFX流程?

    本文介紹了在 AMD Vivado? Design Tool 中用工程模式使用 DFX 流程以及需要注意的地方。在使用 DFX 工程模式的過程中要把具體步驟映射到相應的 DFX 非工程模式的步驟,這樣才能更好地理解整個流程的運行
    的頭像 發表于 04-17 09:28 ?840次閱讀
    如何在AMD <b class='flag-5'>Vivado</b>? Design Tool中用工程模式使用DFX<b class='flag-5'>流程</b>?

    #新開端、新起點,2024一起加油#

    \"新開端、新起點,2024一起加油\" 這句話充滿了積極向上的精神和對未來的期待。新開端和新起點意味著我們有機會摒棄過去的不足,以個全新的姿態開始新的旅程。而\"
    發表于 02-26 21:01
    主站蜘蛛池模板: 亚洲国产av| x8国产精品视频| 国产成人精品免费青青草原app| 黄页网站18以下勿看免费| 欧美性xxxxxx爱| 一区三区不卡高清影视| 国产AV精品国语对白国产| 久久最新地址获取| 亚洲AV 日韩 国产 有码| xnxx18美女| 啦啦啦视频在线观看WWW | 色久天| 永久精品视频无码一区| 国产精品高潮呻吟AV久久96| 嫩草影院精品视频在线观看| 野花日本大全免费高清完整版| 国产成人精品亚洲线观看| 欧美手机在线| 中国午夜伦理片| 国产人成精品综合欧美成人| 日韩成人在线视频| 99久久精品费精品国产一区二| 精品人妻伦九区久久AAA片69| 挺进绝色老师的紧窄小肉六| YY600800新视觉理论私人| 免费高清在线影片一区| 一色屋精品亚洲香蕉网站| 国产精品免费一区二区区| 日本亚洲欧洲免费旡码| 99热在线精品免费全部my| 久久人人爽人人片AV人成| 亚洲高清中文字幕免费| 国产日韩亚洲精品视频| 思思久99久女女精品| 成人国产三级在线播放| 啪啪做羞羞事小黄文| 80岁色老头69av| 久久视频在线视频观品15 | 恋夜影院支持安卓视频美女| 亚洲中文字幕国产综合| 国产综合91|