色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

基于數字電路的實驗脈沖寬度調制

電子森林 ? 來源:嗶哩嗶哩 ? 作者:硬禾學堂 ? 2021-03-22 09:08 ? 次閱讀

我們還是先從脈沖寬度調制的基礎說起。脈沖寬度調制,英文縮寫為:PWM(Pulse Width Modulation),是通過數字信號實現對模擬電路控制的一種非常有效的技術,常被廣泛應用于測量、通信、功率控制與變換等眾多領域。

那么PWM是如何工作的?

我們知道,數字電路只能產生高電平(1)或低電平(0),在小腳丫上也就意味著3.3V和0V。那么如果我們的應用恰好在這之間怎么辦?比如,將3.3V直接連到LED上會導致LED燈很亮。如何將LED燈調暗呢?當然,最簡單的辦法就是直接串聯一個限流電阻但這樣一來,限流電阻就需要不斷產生功耗,而這個功耗實際上是完全浪費掉的。

無非就是調節LED的亮度而已,難道就沒有其他更好的辦法了嗎?當然有,用我們今天學習的PWM就可以輕松實現。在進一步探討點亮LED之前,我們先通過圖1了解一些基本的參數

b2921ed2-888b-11eb-8b86-12bb97331649.png

圖1

圖1中,脈沖信號的周期為T,高電平寬度為t。如果我們將t/T定義為占空比,占空比就是2/3,因為高電平的寬度占了整個周期的2/3。在圖1中我們還可以看到一條紅色虛線,畫在了脈沖高度2/3的位置。這條虛線實際上就對應著最終的有效值。那么如何在FPGA上生成PWM信號呢?

我們還是習慣看圖說話,請看圖2。假如我們有一個鋸齒波,然后在鋸齒波上設置一個閾值(黑色水平虛線),凡是大于該閾值時輸出均為高電平,反之則為低電平,這樣我們是不是就得到一個PWM信號呢?如果我們想調整它的占空比,那么調節閾值的高低就可以了。在本例中,閾值線越低占空比越高。

圖2

如果把上面的描述再抽象化一下,就可以畫出圖3的模塊框圖。鋸齒波實際上就可以用計數器生成,閾值就是一個數值而已,比較器是用來生成最后輸出高低電平用的。

圖3

有了設計思路之后,我們來看一下最終代碼。

module pwm (PWM_out, clk, reset); input clk, reset; output reg PWM_out; wire [7:0] counter_out; //計數器的8位寬儲存,可以最多數128次時鐘的嘀嗒 parameter PWM_ontime = 32; //閾值設在32,對應25%的占空比 always @ (posedge clk) begin //比較器 if (PWM_ontime 》 counter_out) PWM_out 《= 0; else PWM_out 《= 1; end counter counter_inst( //調用計數器 .clk (clk), .counter_out (counter_out), .reset(reset) );endmodule

module counter(counter_out,clk,reset); //計數器模塊代碼 output [7:0] counter_out; input clk, reset; reg [7:0] counter_out; always @(posedge clk) if (reset) //如果沒有按reset,則計數器清零 counter_out 《= 8‘b0; else //如果按下reset,則計數器開始計數 counter_out 《= counter_out + 1;endmodule

在代碼中,我們設置的計數器位寬是8位,也就是每128次后自動重新計數。所以,該計數器的最大頻率也就是12MHz/128=93.75KHz。圖3中可以看出,PWM信號的頻率和計數器的頻率相同,因此也是93.78KHz。

試想一下,LED現在正以超過每秒9萬次的速度閃爍,肉眼是完全分辨不出來的。那么閃爍過程中,亮/滅的比值越大,LED的視覺發光效果就越強,反之則越弱。我們最后將上述程序導入小腳丫中,并通過調節閾值來觀察小腳丫上的LED發光強度的變化。
編輯:lyn

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 通信
    +關注

    關注

    18

    文章

    6024

    瀏覽量

    135950
  • 數字電路
    +關注

    關注

    193

    文章

    1605

    瀏覽量

    80578
  • 測量
    +關注

    關注

    10

    文章

    4849

    瀏覽量

    111238
  • 脈沖寬度調制

    關注

    7

    文章

    81

    瀏覽量

    13724

原文標題:基于FPGA的數字電路實驗8:PWM脈寬調制

文章出處:【微信號:xiaojiaoyafpga,微信公眾號:電子森林】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    脈沖變壓器中如何增加脈沖寬度

    脈沖變壓器中增加脈沖寬度,可以采取以下幾種方法: 1. 調節輸入信號的寬度 基本原理 :當脈沖變壓器的輸入信號寬度不足時,最直接的方法是通
    的頭像 發表于 09-26 15:32 ?387次閱讀

    柵極驅動器電路中窄脈沖寬度的影響

    電子發燒友網站提供《柵極驅動器電路中窄脈沖寬度的影響.pdf》資料免費下載
    發表于 08-29 11:21 ?0次下載
    柵極驅動器<b class='flag-5'>電路</b>中窄<b class='flag-5'>脈沖寬度</b>的影響

    PWM調制原理是什么

    PWM(脈沖寬度調制,Pulse Width Modulation)是一種廣泛應用的模擬信號電平數字編碼方法,其核心原理是通過改變脈沖寬度(占空比)來控制電力設備的輸出功率或實現其他
    的頭像 發表于 08-12 17:33 ?2125次閱讀

    PWM逆變電路調制方法有哪幾種?

    脈沖寬度調制(PWM)逆變電路是一種利用脈沖寬度的變化來控制輸出電壓有效值的技術。
    的頭像 發表于 05-29 16:15 ?877次閱讀

    什么叫單極性和雙極性脈沖寬度調制

    脈沖寬度調制是一種模擬信號數字化的方法,通過改變脈沖序列中脈沖寬度來控制模擬信號的輸出。PWM廣泛應用于電機控制、LED調光、音頻
    的頭像 發表于 05-24 15:29 ?1600次閱讀

    脈沖寬度調制控制電路TL1453C數據表

    電子發燒友網站提供《雙脈沖寬度調制控制電路TL1453C數據表.pdf》資料免費下載
    發表于 04-18 11:13 ?0次下載
    雙<b class='flag-5'>脈沖寬度調制</b>控制<b class='flag-5'>電路</b>TL1453C數據表

    負輸出反激脈沖寬度調制器UC3572數據表

    電子發燒友網站提供《負輸出反激脈沖寬度調制器UC3572數據表.pdf》資料免費下載
    發表于 04-11 10:42 ?0次下載
    負輸出反激<b class='flag-5'>脈沖寬度調制</b>器UC3572數據表

    調節脈沖寬度調制器UC1525B/1527B系列數據表

    電子發燒友網站提供《調節脈沖寬度調制器UC1525B/1527B系列數據表.pdf》資料免費下載
    發表于 04-07 15:47 ?0次下載
    調節<b class='flag-5'>脈沖寬度調制</b>器UC1525B/1527B系列數據表

    先進的調節脈沖寬度調制器UC1524A系列數據表

    電子發燒友網站提供《先進的調節脈沖寬度調制器UC1524A系列數據表.pdf》資料免費下載
    發表于 04-07 15:40 ?0次下載
    先進的調節<b class='flag-5'>脈沖寬度調制</b>器UC1524A系列數據表

    調節脈沖寬度調制器UC1526A系列數據表

    電子發燒友網站提供《調節脈沖寬度調制器UC1526A系列數據表.pdf》資料免費下載
    發表于 04-02 09:27 ?1次下載
    調節<b class='flag-5'>脈沖寬度調制</b>器UC1526A系列數據表

    調節脈沖寬度調制器UCx52xA數據表

    電子發燒友網站提供《調節脈沖寬度調制器UCx52xA數據表.pdf》資料免費下載
    發表于 04-01 15:34 ?0次下載
    調節<b class='flag-5'>脈沖寬度調制</b>器UCx52xA數據表

    脈沖寬度調制(PWM):工程機械控制器的常見技術

    在電子領域中,脈沖寬度調制(PWM)是一項廣泛應用的技術。通過改變信號的脈沖寬度來調整輸出信號的平均功率,實現對電壓或電流的有效控制。在工程機械控制器中,PWM功能更是發揮著至關重要的作用,為
    的頭像 發表于 03-06 13:58 ?1217次閱讀
    <b class='flag-5'>脈沖寬度調制</b>(PWM):工程機械控制器的常見技術

    為什么工程機械控制器需要脈沖寬度調制功能

    在電子領域中,脈沖寬度調制(PWM)是一項廣泛應用的技術。通過改變信號的脈沖寬度來調整輸出信號的平均功率,實現對電壓或電流的有效控制。在工程機械控制器中,PWM功能更是發揮著至關重要的作用,為機械系統提供了高效、精確的控制手段。
    的頭像 發表于 02-23 10:17 ?472次閱讀

    脈沖數字信號之間的關系是什么?數字電路的工作信號是脈沖嗎?

    脈沖數字信號之間的關系是什么?數字電路的工作信號是脈沖嗎? 脈沖數字信號之間有一定的關系,但
    的頭像 發表于 02-05 15:51 ?2354次閱讀

    逆變電路的控制策略與方法介紹

    逆變電路的控制策略與方法主要包括脈沖寬度調制(PWM)、空間矢量脈寬調制(SVPWM)、諧振軟開關(RRS)等。這些控制策略和方法可以實現對逆變電路輸出電壓的穩定、高效和低諧波控制,提
    的頭像 發表于 12-27 17:14 ?1711次閱讀
    逆變<b class='flag-5'>電路</b>的控制策略與方法介紹
    主站蜘蛛池模板: 超碰97av 在线人人操| 交video| 高清 仑乱 一级 a| 中国女人逼| 亚洲高清免费在线观看| 亚洲高清国产拍精品动图| 亚洲青青青网伊人精品| 视频一区国产精戏刘婷30| 无码人妻丰满熟妇区五十路久久| 日本国产成人精品无码区在线网站| 人驴交f ee欧美| 无套内谢大学生A片| 一本色道久久综合一区| 97在线免费观看| 3DNagoonimation动漫| xxx88中国| 国产精品久久久久久免费字体 | 99热这里只有精品8| 成人在线免费看片| 国产最新地址| 免费果冻传媒2021在线看 | 考试考90就可以晚上和老师C| 免费观看久久| 午夜福利免费0948视频| 中文字幕亚洲欧美在线视频| 草民电影网午夜伦理电影网| 国产在线精品亚洲另类| 奶水四溅54p| 无码人妻精品一区二区蜜桃色欲| 亞洲人妻AV無碼在線視頻| AV多人爱爱XXx| 国产全部视频列表支持手机| 国产成人综合高清在线观看| 国产亚洲日韩在线播放不卡| 美女被黑人巨大进入| 色 花 堂 永久 网站| 桃花免费高清在线观看| 欧美阿v在线天堂| 亚洲国产黄色| 5g天天影院天天看天天爽| 国产毛多水多高潮高清|