色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

淺析Xilinx系列FPGA Select IO簡介

YCqV_FPGA_EETre ? 來源:Ingdan FPGA ? 作者:Jon Zhu ? 2021-10-28 16:46 ? 次閱讀

在SelectIO簡介連載一中介紹了其架構,本章會繼續介紹如何使用其gearbox功能來實現不同的比率的串并轉換功能。

7 Series FPGA中LVDS使用了ISERDESE2,SDR Rate可設為2,3,4,5,6,7,8。DDR Rate可設為4,6,8,10,14。

4960ff8a-37a6-11ec-82a8-dac502259ad0.png

從UG471的Bitslip部分可以看出在SDR和DDR移位的位數不一樣。在SDR模式下,一個Bitslip脈沖使數據左移一位;而在DDR模式下,一個Bitslip脈沖使數據右移一位或左移三位。

49d2be18-37a6-11ec-82a8-dac502259ad0.png

4a2a7e50-37a6-11ec-82a8-dac502259ad0.png

所以在某些傳輸過程中,可以先傳預設值,等待接收方調整Idelay和Bitslip解出正確的預設值后再傳輸有效數據。

對習慣使用7 Series FPGA用戶在接觸XilinxUltraScale和UltraScale +器件 SelectIO時感覺不習慣,原因XilinxUltraScale和UltraScale +是ISERDESE3和OSERDESE3組件,使用Select IO需要在IP catlog中選擇high_speed_selectio IP Configuration interface 在Serialization Factor選項中只有8或者4可以選擇。

4ae86bc2-37a6-11ec-82a8-dac502259ad0.png

Pin Selection選擇時會發現IO選擇會有一定限制,在Sensor的應用中HP bank理想的硬件設計是在同一個bank中連續放置設備I/O,部分Sensor輸出的Serialization Factor需要7:1,6:1,5:1,不能直接使用ISERDES。

對這類應用Xilinx 提供了XAPP1315 7:1的參考設計,那么對6:1,5:1這種應用用戶需要在參考設計上改哪里,怎樣去改?下面我們提供修改方式供參考。

1、從Data Reception看需要把ISERDESE3 輸出的8位數據(Serialization Factor=8)通過gearbox模塊轉成7,6,5位的數據。其中7位的數據XAPP1315已經做過了,這里我們用6:1的數據為例, 需要從ISERDES3實現Read8 bit 數據通過gearbox 轉換為6bit數據。

4b739f8a-37a6-11ec-82a8-dac502259ad0.png

2、對于Read 8 to 6 gearbox設計方式:

4bee50ea-37a6-11ec-82a8-dac502259ad0.png

從數據排列可以分析到8 bit數據在每次讀取6 bit數據,經過4次后開始循環,我們通過狀態機設計gearbox的代碼需只需要實現;

4c7e41f0-37a6-11ec-82a8-dac502259ad0.png

// Read 8 to 6 gearbox

//

always @ (posedge px_clk)

begin

case (px_rd_seq )

3‘h0 : begin

px_data 《=px_rd_curr[5:0];

end

3’h1 : begin

px_data 《={px_rd_curr[3:0], px_rd_last[7:6]};

end

3‘h2 : begin

px_data 《={px_rd_curr[1:0], px_rd_last[7:4]};

end

3’h3 : begin

px_data 《={px_rd_last[7:2]};

end

endcase

end

3、Data Transmission,OSERDES3使用4 bit 輸入,參考例程是把ISERDES的數據接到OSERDES,這里我們在參考例程上任然使用ISERDE 到OSERDES的數據傳送方式驗證。分析知道需要一個6 bit 轉4 bit數據的 Gearbox.

4cf6339a-37a6-11ec-82a8-dac502259ad0.png

4、Gearbox設計思路是把6 bit的數據按4bit大小去讀取直到數據開始循環。

4d6a22b4-37a6-11ec-82a8-dac502259ad0.png

通過表格客戶分析出設計代碼做3次循環可以滿足要求

4de1f6c2-37a6-11ec-82a8-dac502259ad0.png

Read state machine and gear box

//

always @ (posedge tx_clkdiv4)

begin

if(!tx_enable) begin

rd_addr 《= 4‘b0;

rd_state 《= 3’h0;

end else begin

case (rd_state )

3‘h0 : begin

rd_addr 《= rd_addr + 1’b1;

tx_data 《= rd_curr[3:0];

rd_state《= rd_state + 1‘b1;

end

3’h1 : begin

rd_addr 《= rd_addr;

tx_data 《= {rd_curr[1:0], rd_last[5:4]};

rd_state《= rd_state + 1‘b1;

end

3’h2 : begin

rd_addr 《= rd_addr + 1‘b1;

tx_data 《= rd_last[5:2];

rd_state《= 3’h0;

end

endcase

end

end

5、到這來我們已經完成gearbox 模塊的設計,實現LVDS Source Synchronous 6:1。在Serialization and Deserialization部分還需要修改輸入的數據

//

// Transmit Data Generation

//

always @ (posedge tx_px_clk)

begin

if(tx_px_reset) begin

tx_px_data[ 5:0 ] 《= 6‘h01;

tx_px_data[11:6 ] 《= 6’h02;

tx_px_data[17:12] 《= 6‘h03;

tx_px_data[23:18] 《= 6’h04;

tx_px_data[29:24] 《= 6‘h05;

end

else begin

tx_px_data[ 5:0 ]《= tx_px_data[ 5:0 ]+1’b1;

tx_px_data[11:6 ]《= tx_px_data[11:6 ]+1‘b1;

tx_px_data[17:12]《= tx_px_data[17:12]+1’b1;

tx_px_data[23:18]《= tx_px_data[23:18]+1‘b1;

tx_px_data[29:24]《= tx_px_data[29:24]+1’b1;

end

end

// Receiver 1 - Data checking per pixelclock

//

always @(posedge rx1_px_clk or negedgerx1_px_ready)

begin

rx1_px_last 《= rx1_px_data;

if(!rx1_px_ready) begin

rx1_match 《= 1‘b0;

end

else if ((rx1_px_data[ 5:0 ]==rx1_px_last[ 5:0 ]+1’b1)&&

(rx1_px_data[11:6 ]==rx1_px_last[11:6 ]+1‘b1)&&

(rx1_px_data[17:12]==rx1_px_last[17:12]+1’b1)&&

(rx1_px_data[23:18]==rx1_px_last[23:18]+1‘b1)&&

(rx1_px_data[29:24]==rx1_px_last[29:24]+1’b1)) begin

rx1_match 《= 1‘b1;

end

else begin

rx1_match 《= 1’b0;

end

end

6、對用戶的系統可能需要的lane數量為8,在對應的數據部分需要做對應的修改

4e617050-37a6-11ec-82a8-dac502259ad0.png

Receiver使用ISERDESE3在1:8 DDR模式與8:6分布式RAM基于齒輪箱反序列化和對齊輸入數據流。這個實現需要三個時鐘域,1/2速率采樣時鐘(rx_clkdiv2), 1/8速率反序列化數據時鐘(rx_clkdiv8),和1/6像素時鐘(px_clk),它等于Receiversource clock。

Receiver source clock在MMCM或PLL中乘以6或12以滿足VCO頻率范圍,然后除以2生成1/2速率采樣時鐘(rx_clkdiv2),除以6生成織物像素時鐘(px_clk)。

//

// Instantiate PLL or MMCM

//

generate

if (USE_PLL == “FALSE”)begin // use an MMCM

MMCME3_BASE # (

.CLKIN1_PERIOD (CLKIN_PERIOD),

.BANDWIDTH (“OPTIMIZED”),

.CLKFBOUT_MULT_F (6*VCO_MULTIPLIER),

.CLKFBOUT_PHASE (0.0),

.CLKOUT0_DIVIDE_F (2*VCO_MULTIPLIER),

.CLKOUT0_DUTY_CYCLE (0.5),

.CLKOUT0_PHASE (0.0),

.DIVCLK_DIVIDE (1),

.REF_JITTER1 (0.100)

tx_mmcm (

.CLKFBOUT (px_pllmmcm),

.CLKFBOUTB (),

.CLKOUT0 (tx_pllmmcm_div2),

.CLKOUT0B (),

.CLKOUT1 (),

.CLKOUT1B (),

.CLKOUT2 (),

.CLKOUT2B (),

.CLKOUT3 (),

.CLKOUT3B (),

.CLKOUT4 (),

.CLKOUT5 (),

.CLKOUT6 (),

.LOCKED (cmt_locked),

.CLKFBIN (px_clk),

.CLKIN1 (clkin),

.PWRDWN (1‘b0),

.RST (reset)

);

end else begin // Use aPLL

PLLE3_BASE # (

.CLKIN_PERIOD (CLKIN_PERIOD),

.CLKFBOUT_MULT (6*VCO_MULTIPLIER),

.CLKFBOUT_PHASE (0.0),

.CLKOUT0_DIVIDE (2*VCO_MULTIPLIER),

.CLKOUT0_DUTY_CYCLE (0.5),

.REF_JITTER (0.100),

.DIVCLK_DIVIDE (1)

tx_pll (

.CLKFBOUT (px_pllmmcm),

.CLKOUT0 (tx_pllmmcm_div2),

.CLKOUT0B (),

.CLKOUT1 (),

.CLKOUT1B (),

.CLKOUTPHY (),

.LOCKED (cmt_locked),

.CLKFBIN (px_clk),

.CLKIN (clkin),

.CLKOUTPHYEN (1’b0),

.PWRDWN (1‘b0),

.RST (reset)

);

end

7、代碼中對應的源語需要升級到ULTRASCALE_PLUS對應的部分

類似的地方:localparam DELAY_VALUE = ((CLKIN_PERIOD*1000)/6 《= 1100.0) ?(CLKIN_PERIOD*1000)/6 : 1100.0;

ULTRASCALE_PLUS maximumvalue for 1100.0

IDELAYE3 SIM_DEVICE(“ULTRASCALE_PLUS”), // Set the device version for simulationfunctionality (ULTRASCALE// ULTRASCALE_PLUS,recommended to re-call IDELAYE3 in the ULTRASCALE_PLUSdirectory

8、所以以模塊修完之后通過軟件仿真驗證修改的數據跟XAPP1315的數據對比,設計中采用parameter DATA_FORMAT = “PER_CLOCK”,數據格式會安裝PER_CLOCK方式排列LVDS Source Synchronous 6:1 Serializationand Deserialization Using Clock Multiplication。

4ecad428-37a6-11ec-82a8-dac502259ad0.png

Xapp1315 LVDS Source Synchronous 7:1Serialization and Deserialization Using Clock Multiplication仿真數據:

4fe25692-37a6-11ec-82a8-dac502259ad0.png

綜上所述,通過數據比對分析數據沒有問題,從而實現此功能。

編輯:jq

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1629

    文章

    21748

    瀏覽量

    603883
  • 數據
    +關注

    關注

    8

    文章

    7067

    瀏覽量

    89110
  • Xilinx
    +關注

    關注

    71

    文章

    2167

    瀏覽量

    121568
  • 時鐘
    +關注

    關注

    11

    文章

    1735

    瀏覽量

    131536
收藏 人收藏

    評論

    相關推薦

    【米爾-Xilinx XC7A100T FPGA開發板試用】測試一

    感謝米爾電子和電子發燒友提供的米爾-Xilinx XC7A100T FPGA開發板。 MYD-J7A100T用的 FPGAXILINX 公司 ARTIX-7
    發表于 12-08 08:48

    dac3174與xilinx zynq7000系列連接,fpga的案例參考代碼有沒有?

    dac3174與xilinx zynq7000系列連接,fpga的案例參考代碼有沒有? tsw1400_lvds_dac_sample_wise_restored的代碼寫的實在太難度了,一句注釋都沒有
    發表于 11-25 06:04

    采用Xilinx FPGA的AFE79xx SPI啟動指南

    電子發燒友網站提供《采用Xilinx FPGA的AFE79xx SPI啟動指南.pdf》資料免費下載
    發表于 11-15 15:28 ?0次下載
    采用<b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b>的AFE79xx SPI啟動指南

    【米爾-Xilinx XC7A100T FPGA開發板試用】+01.開箱(zmj)

    ://www.myir-tech.com/ //------米爾-Xilinx XC7A100T FPGA開發板產品簡介 https://www.myir.cn/shows/141/75.html 2.開發板介紹 2.1開發板
    發表于 11-12 15:45

    Linux--IO多路復用(select,poll,epoll)

    IO多路復用——select,poll,epollIO多路復用是一種操作系統技術,旨在提高系統處理多個輸入輸出操作的性能和資源利用率。與傳統的多線程或多進程模型相比,IO多路復用避免了因阻塞I
    的頭像 發表于 11-06 16:13 ?312次閱讀

    Xilinx 7系列FPGA PCIe Gen3的應用接口及特性

    Xilinx7系列FPGA集成了新一代PCI Express集成塊,支持8.0Gb/s數據速率的PCI Express 3.0。本文介紹了7系列FP
    的頭像 發表于 11-05 15:45 ?947次閱讀
    <b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b> PCIe Gen3的應用接口及特性

    Xilinx ZYNQ 7000系列SoC的功能特性

    本文介紹下Xilinx ZYNQ 7000系列SoC的功能特性、資源特性、封裝兼容性以及如何訂購器件。
    的頭像 發表于 10-24 15:04 ?867次閱讀
    <b class='flag-5'>Xilinx</b> ZYNQ 7000<b class='flag-5'>系列</b>SoC的功能特性

    一文了解FPGA比特流的內部結構

    比特流是一個常用詞匯,用于描述包含FPGA完整內部配置狀態的文件,包括布線、邏輯資源和IO設置。大多數現代FPGA都是基于SRAM的,包括Xilinx Spartan和Virtex
    的頭像 發表于 07-16 18:02 ?7867次閱讀
    一文了解<b class='flag-5'>FPGA</b>比特流的內部結構

    FPGA | Xilinx ISE14.7 LVDS應用

    今天給大俠帶來 Xilinx ISE14.7 LVDS應用,話不多說,上貨。 最近項目需要用到差分信號傳輸,于是看了一下FPGA上差分信號的使用。Xilinx FPGA中,主要通過
    發表于 06-13 16:28

    FPGA核心板 Xilinx Artix-7系列XC7A100T開發平臺,米爾FPGA工業開發板

    MYC-J7A100T核心板及開發板Xilinx Artix-7系列XC7A100T開發平臺,FPGA工業芯XC7A100T-2FGG484I具有高度的可編程性和靈活性;高速傳輸和處理,具有285個
    發表于 05-31 15:12 ?9次下載

    中國FPGA市場競爭格局分析

    AMD(XilinxFPGA相關產品矩陣主要包括:四大 FPGA產品系列(VIRTEX、KINTEX、ARTIX、SPARTAN),以及集成度更高的兩大自適應 SoC(Adaptiv
    發表于 04-26 17:01 ?1291次閱讀
    中國<b class='flag-5'>FPGA</b>市場競爭格局分析

    Xilinx 7系列FPGA功能特性介紹

    Xilinx7系列FPGA由四個FPGA系列組成,可滿足一系列系統需求,從低成本、小尺寸、成本敏
    發表于 04-22 10:49 ?5474次閱讀
    <b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>功能特性介紹

    Xilinx fpga芯片系列有哪些

    Xilinx FPGA芯片擁有多個系列和型號,以滿足不同應用領域的需求。以下是一些主要的Xilinx FPGA芯片
    的頭像 發表于 03-14 16:24 ?3353次閱讀

    AMD Xilinx 7系列FPGA的Multiboot多bit配置

    Multiboot是一種在AMD Xilinx 7系列FPGA上實現雙鏡像(或多鏡像)切換的方案。它允許在FPGA中加載兩個不同的配置鏡像,并在需要時切換。
    的頭像 發表于 02-25 10:54 ?1306次閱讀
    AMD <b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>的Multiboot多bit配置

    FPGA設計高級技巧 Xilinx

    FPGA設計高級技巧 Xilinx
    發表于 01-08 22:15
    主站蜘蛛池模板: 69久久国产露脸精品国产| 果冻传媒mv国产陈若瑶主演| 久久婷婷色一区二区三区| 张开腿我尝尝你的草莓| 免费精品一区二区三区AA片| 99免费在线观看视频| 涩涩爱涩涩片影院| 国产亚洲日韩在线播放不卡| 怡红院美国分院一区二区| 女女破视频在线观看| 国产精品九九久久| 战狼4在线观看完免费完整版 | BL全肉多攻NP高H| 神马影院在线eecss伦理片| 久99视频精品免费观看福利| 9久久99久久久精品齐齐综合色圆 9久高清在线不卡免费无吗视频 | 又硬又粗又大一区二区三区视频| 免费国产久久啪久久爱| 国产精品96久久久久久AV不卡| 亚洲午夜一区二区电影院| 女人 我狠狠疼你| 国产亚洲精品久久久久久入口 | 亚洲haose在线观看| 麻豆出品国产AV在线观看| 丰满的寡妇hd高清在线观看| 岳扒开让我添| 熟女啪啪白浆嗷嗷叫| 伦理 电影在线观看| 国产精品无码亚洲区艳妇| 99久久99久久精品| 亚洲日本欧美天堂在线| 日韩亚洲国产欧美免费观看| 久久有码中文字幕| 国产亚洲精品久久精品69| 被公疯狂玩弄的漂亮人妻| 在线观看永久免费网址| 先锋影音av最新资源| 人妻体内射精一区二区| 狼人射综合| 精品99久久久久成人网站| 国产AV一区二区三区传媒|