色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Xilinx原語OSERDESE2的使用和仿真詳解

C29F_xilinx_inc ? 來源:賽靈思 ? 作者:賽靈思 ? 2022-02-19 17:43 ? 次閱讀

1、介紹
隨著電子行業技術的發展,特別是在傳輸接口的發展上,IEEE1284被 USB 接口取代,PATA被 SATA 取代,PCI被 PCI-Express 所取代,無一不證明了傳統并行接口的速度已經達到一個瓶頸了,取而代之的是速度更快的串行接口,于是原本用于光纖通信的SerDes 技術成為了為高速串行接口的主流。串行接口主要應用了差分信號傳輸技術,具有功耗低、抗干擾強,速度快的特點,理論上串行接口的最高傳輸速率可達到10Gbps 以上。

2、理論
Xilinx的原語OSERDESE2是一種專用的并-串轉換器,每個OSERDESE2模塊都包括一個專用串行化程序用于數據和3狀態控制。數據和3狀態序列化程序都可以工作在SDR和DDR模式。數據串行化的位寬可以達到8:1(如果使用原語模塊級聯,則可以到10:1和14:1)。3狀態序列化最高可達14:1,有一個專用的DDR3模式可用于支持高速內存應用程序。

OSERDESE2的框圖如下:

Xilinx原語OSERDESE2的使用和仿真詳解

OSERDESE2的端口說明如下:

Xilinx原語OSERDESE2的使用和仿真詳解

需要例化的一些可用屬性如下:

Xilinx原語OSERDESE2的使用和仿真詳解

TRISTATE_WIDTH的選取見下表:

Xilinx原語OSERDESE2的使用和仿真詳解

SDR、DDR輸出模式下位寬的選取有如下限制:

Xilinx原語OSERDESE2的使用和仿真詳解

輸出數據會有一些時鐘周期的延遲,具體見下表:

Xilinx原語OSERDESE2的使用和仿真詳解

3、8位數據的并串轉換
接下里例化一個原語來熟悉下用法,8位數據的并串轉換,采用DDR輸出。

官方手冊的8位DDR轉化時序圖如下:

Xilinx原語OSERDESE2的使用和仿真詳解

例化原語OSERDESE2(打開VIvado--Tools--Language Templates,搜索“OSERDESE2”,可以找到Xilinx提供的模板),與DDR、3態控制相關的端口,輸入全設置為0,輸出不關心,編寫Verilog如下:

//------------------------------------------------------------------------
//--OSERDESE2測試模塊
//------------------------------------------------------------------------

//--------------------------------------------------------
module serializer(
input clk_ser , //串行輸出時鐘,50M*4=200M
input clk_per , //并行輸入時鐘,50M
input rst_n , //復位信號,低電平有效
input [7:0] par_data , //并行輸入數據

output ser_data //串行輸出數據
);

//---------------------------------------------------------------
OSERDESE2 #(
.DATA_RATE_OQ ("DDR") , // DDR, SDR
.DATA_RATE_TQ ("SDR") , // DDR, BUF, SDR
.DATA_WIDTH (8) , // Parallel data width (2-8,10,14)
.INIT_OQ (1'b0) , // Initial value of OQ output (1'b0,1'b1)
.INIT_TQ (1'b0) , // Initial value of TQ output (1'b0,1'b1)
.SERDES_MODE ("MASTER") , // MASTER, SLAVE
.SRVAL_OQ (1'b0) , // OQ output value when SR is used (1'b0,1'b1)
.SRVAL_TQ (1'b0) , // TQ output value when SR is used (1'b0,1'b1)
.TBYTE_CTL ("FALSE") , // Enable tristate byte operation (FALSE, TRUE)
.TBYTE_SRC ("FALSE") , // Tristate byte source (FALSE, TRUE)
.TRISTATE_WIDTH (1) // 3-state converter width (1,4)
)
OSERDESE2_inst (
.OFB () , // 1-bit output: Feedback path for data
.OQ (ser_data) , // 1-bit output: Data path output
.SHIFTOUT1 () ,
.SHIFTOUT2 () ,
.TBYTEOUT () , // 1-bit output: Byte group tristate
.TFB () , // 1-bit output: 3-state control
.TQ () , // 1-bit output: 3-state control
.CLK (clk_ser) , // 1-bit input: High speed clock
.CLKDIV (clk_per) , // 1-bit input: Divided clock
.D1 (par_data[0]) ,
.D2 (par_data[1]) ,
.D3 (par_data[2]) ,
.D4 (par_data[3]) ,
.D5 (par_data[4]) ,
.D6 (par_data[5]) ,
.D7 (par_data[6]) ,
.D8 (par_data[7]) ,
.OCE (1'b1) , // 1-bit input: Output data clock enable
.RST (~rst_n) , // 1-bit input: Reset
.SHIFTIN1 () ,
.SHIFTIN2 () ,
.T1 (1'b0) ,
.T2 (1'b0) ,
.T3 (1'b0) ,
.T4 (1'b0) ,
.TBYTEIN (1'b0) , // 1-bit input: Byte group tristate
.TCE (1'b0) // 1-bit input: 3-state clock enable
);

endmodule

每隔20ns隨機生成1個8位2進制數據作為并行輸入,觀察串行輸出,Testbench如下:

//------------------------------------------------
//--OSERDESE2原語仿真
//------------------------------------------------

`timescale 1ns / 1ps //時間單位/精度

//----------------------------------------------------
module tb_serializer();

reg clk_per ;
reg clk_ser ;
reg rst_n ;
reg [7:0] par_data ;
wire ser_data ;

//----------------------------------------------------
initial begin
clk_per clk_ser rst_n par_data #180
rst_n end
//----------------------------------------------------------
always #10 clk_per = ~clk_per;
always #2.5 clk_ser = ~clk_ser;

always #20 par_data

//----------------------------------------------------
serializer serializer_inst(
.clk_per (clk_per) ,
.clk_ser (clk_ser) ,
.rst_n (rst_n) ,
.par_data (par_data) ,
.ser_data (ser_data)
);

endmodule

仿真結果如下:

Xilinx原語OSERDESE2的使用和仿真詳解

可以看出:

在第1條藍線處,是復位后并行時鐘的第1個上升沿,此時采集到的數據為0000_0001
在第2條藍線處,也就是4個串行時鐘的延遲后,串行輸出開始有數據,分別為1-0-0-0-0-0-0-0,可以看出是第1個上升沿采集到的數據輸出(0000_0001從低位往高位輸出)
在第2條藍線處,是復位后并行時鐘的第2個上升沿,此時采集到的數據為0000_1101
在第3條藍線處,也就是4個串行時鐘的延遲后,串行輸出開始有數據,分別為1-0-1-1-0-0-0-0,可以看出是第2個上升沿采集到的數據輸出(0000_1101從低位往高位輸出)

其他與上述相同,符合官方給出的時序圖

4、10位數據的并串轉換(級聯)
OSERDESE2原語還支持例化兩次原語級聯,以便實現10位、14位位寬的串行化轉換。下圖是10位位寬的級聯框圖,其中一個設置位MASTER,另一個設置為SLAVE,通過SHIFTIN與SHIFTOUT連接。

需要注意:數據的輸出從MASTER輸出,數據的高位輸入到SLAVE模塊時,需要從D3開始(只能使用D3~D8)

Xilinx原語OSERDESE2的使用和仿真詳解

接下里例化一個原語來實現10位數據的并串轉換,采用DDR輸出。

例化2個原語OSERDESE2級聯(打開VIvado--Tools--Language Templates,搜索“OSERDESE2”,可以找到Xilinx提供的模板),與DDR、3態控制相關的端口,輸入全設置為0,輸出不關心,編寫Verilog如下:

//------------------------------------------------------------------------
//--OSERDESE2測試模塊
//------------------------------------------------------------------------

//--------------------------------------------------------
module serializer(
input clk_ser , //串行輸出時鐘,50M*5=250M
input clk_per , //并行輸入時鐘,50M
input rst_n , //復位信號,低電平有效
input [9:0] par_data , //并行輸入數據,位寬10

output ser_data //串行輸出數據
);
//------------------------------------------------------------------
wire shift1; //級聯線1
wire shift2; //級聯線2

//------------------------------------------------------------------

//例化主模塊MASTER
OSERDESE2 #(
.DATA_RATE_OQ ("DDR") , // DDR, SDR
.DATA_RATE_TQ ("SDR") , // DDR, BUF, SDR
.DATA_WIDTH (10) , // Parallel data width (2-8,10,14)
.INIT_OQ (1'b0) , // Initial value of OQ output (1'b0,1'b1)
.INIT_TQ (1'b0) , // Initial value of TQ output (1'b0,1'b1)
.SERDES_MODE ("MASTER") , // MASTER, SLAVE
.SRVAL_OQ (1'b0) , // OQ output value when SR is used (1'b0,1'b1)
.SRVAL_TQ (1'b0) , // TQ output value when SR is used (1'b0,1'b1)
.TBYTE_CTL ("FALSE") , // Enable tristate byte operation (FALSE, TRUE)
.TBYTE_SRC ("FALSE") , // Tristate byte source (FALSE, TRUE)
.TRISTATE_WIDTH (1) // 3-state converter width (1,4)
)
OSERDESE2_inst1 (
.OFB () , // 1-bit output: Feedback path for data
.OQ (ser_data) , // 1-bit output: Data path output
.SHIFTOUT1 () ,
.SHIFTOUT2 () ,
.TBYTEOUT () , // 1-bit output: Byte group tristate
.TFB () , // 1-bit output: 3-state control
.TQ () , // 1-bit output: 3-state control
.CLK (clk_ser) , // 1-bit input: High speed clock
.CLKDIV (clk_per) , // 1-bit input: Divided clock
.D1 (par_data[0]) ,
.D2 (par_data[1]) ,
.D3 (par_data[2]) ,
.D4 (par_data[3]) ,
.D5 (par_data[4]) ,
.D6 (par_data[5]) ,
.D7 (par_data[6]) ,
.D8 (par_data[7]) ,
.OCE (1'b1) , // 1-bit input: Output data clock enable
.RST (~rst_n) , // 1-bit input: Reset
.SHIFTIN1 (shift1) ,
.SHIFTIN2 (shift2) ,
.T1 (1'b0) ,
.T2 (1'b0) ,
.T3 (1'b0) ,
.T4 (1'b0) ,
.TBYTEIN (1'b0) , // 1-bit input: Byte group tristate
.TCE (1'b0) // 1-bit input: 3-state clock enable
);

//例化從模塊SLAVE
OSERDESE2 #(
.DATA_RATE_OQ ("DDR") , // DDR, SDR
.DATA_RATE_TQ ("SDR") , // DDR, BUF, SDR
.DATA_WIDTH (10) , // Parallel data width (2-8,10,14)
.INIT_OQ (1'b0) , // Initial value of OQ output (1'b0,1'b1)
.INIT_TQ (1'b0) , // Initial value of TQ output (1'b0,1'b1)
.SERDES_MODE ("SLAVE") , // MASTER, SLAVE
.SRVAL_OQ (1'b0) , // OQ output value when SR is used (1'b0,1'b1)
.SRVAL_TQ (1'b0) , // TQ output value when SR is used (1'b0,1'b1)
.TBYTE_CTL ("FALSE") , // Enable tristate byte operation (FALSE, TRUE)
.TBYTE_SRC ("FALSE") , // Tristate byte source (FALSE, TRUE)
.TRISTATE_WIDTH (1) // 3-state converter width (1,4)
)
OSERDESE2_inst2 (
.OFB () , // 1-bit output: Feedback path for data
.OQ () , // 1-bit output: Data path output
.SHIFTOUT1 (shift1) ,
.SHIFTOUT2 (shift2) ,
.TBYTEOUT () , // 1-bit output: Byte group tristate
.TFB () , // 1-bit output: 3-state control
.TQ () , // 1-bit output: 3-state control
.CLK (clk_ser) , // 1-bit input: High speed clock
.CLKDIV (clk_per) , // 1-bit input: Divided clock
.D1 () ,
.D2 () ,
.D3 (par_data[8]) ,
.D4 (par_data[9]) ,
.D5 () ,
.D6 () ,
.D7 () ,
.D8 () ,
.OCE (1'b1) , // 1-bit input: Output data clock enable
.RST (~rst_n) , // 1-bit input: Reset
.SHIFTIN1 () ,
.SHIFTIN2 () ,
.T1 (1'b0) ,
.T2 (1'b0) ,
.T3 (1'b0) ,
.T4 (1'b0) ,
.TBYTEIN (1'b0) , // 1-bit input: Byte group tristate
.TCE (1'b0) // 1-bit input: 3-state clock enable
);
endmodule

每隔20ns隨機生成1個10位2進制數據作為并行輸入,觀察串行輸出,Testbench如下:

//------------------------------------------------
//--OSERDESE2原語仿真
//------------------------------------------------

`timescale 1ns / 1ps //時間單位/精度

//----------------------------------------------------
module tb_serializer();

reg clk_per ;
reg clk_ser ;
reg rst_n ;
reg [9:0] par_data ;
wire ser_data ;

//----------------------------------------------------
initial begin
clk_per clk_ser rst_n par_data #180
rst_n end
//----------------------------------------------------------
always #10 clk_per = ~clk_per;
always #2 clk_ser = ~clk_ser;

always #20 par_data

//----------------------------------------------------
serializer serializer_inst(
.clk_per (clk_per) ,
.clk_ser (clk_ser) ,
.rst_n (rst_n) ,
.par_data (par_data) ,
.ser_data (ser_data)
);

endmodule

仿真結果如下:

Xilinx原語OSERDESE2的使用和仿真詳解

可以看出:

在第1條藍線處,是復位后并行時鐘的第1個上升沿,此時采集到的數據為11000_00001
在第2條藍線處,也就是4個串行時鐘的延遲后,串行輸出開始有數據,分別為1-0-0-0-0-0-0-0-1-1,可以看出是第1個上升沿采集到的數據輸出(11000_00001從低位往高位輸出)
在第2條藍線處,是復位后并行時鐘的第2個上升沿,此時采集到的數據為01000_01101
在第3條藍線處,也就是4個串行時鐘的延遲后,串行輸出開始有數據,分別為1-0-1-1-0-0-0-0-1-0,可以看出是第2個上升沿采集到的數據輸出(01000_01101從低位往高位輸出)
其他與上述相同。

這里官方手冊沒有給出10bitDDR輸出的時序圖,輸出延遲給出的表如下圖:

Xilinx原語OSERDESE2的使用和仿真詳解

可以看到這里10:1的輸出延遲應該是5個時鐘周期,但是我上面仿真的卻是4個時鐘周期,一開始我還以為是哪里錯了,搞得我重復仿真了好幾遍,后面又看了一下手冊,終于在延遲表的下面發現了這句話(上圖標紅):CLK、CLKDIV的時鐘沿通常不是相位一致的。當這兩個時鐘的時鐘沿相位一致時,延遲會存在一個周期的差異。

這樣的話,仿真結果應該是沒有問題。

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 轉換器
    +關注

    關注

    27

    文章

    8715

    瀏覽量

    147335
  • Xilinx
    +關注

    關注

    71

    文章

    2167

    瀏覽量

    121601
  • 仿真
    +關注

    關注

    50

    文章

    4094

    瀏覽量

    133687
收藏 人收藏

    評論

    相關推薦

    調試Xilinx Zynq + ADS58C48,ADC使用的是LVDS模式,ADC不能正常工作怎么解決?

    我正在調試Xilinx Zynq + ADS58C48,ADC使用的是LVDS模式,ADC不能正常工作。有以下幾點問題: 1)通過Xilinx FPGA差分原語輸給ADC一個10MHz的差分時
    發表于 12-10 07:34

    ADC3583怎么用Xilinx的ISERDESE2采集信號?

    我看datasheet上3種模式2-wire/1-wire/1/2-wireSLDVS都是9位或者18位的,我用xilinx的fpga中的ISERDESE2的DDR模式只支持4-,6
    發表于 11-21 07:12

    設計仿真 | 基于VTD的V2X仿真應用

    基于VTD的V2X仿真測試系統,采用VTD軟件的數據開放性特點,將軟件仿真的數據作為V2X中的數據源。本方案直接采用VTD的傳感器來充當路測設備和車載終端。
    的頭像 發表于 10-14 12:17 ?697次閱讀
    設計<b class='flag-5'>仿真</b> | 基于VTD的V<b class='flag-5'>2</b>X<b class='flag-5'>仿真</b>應用

    TINA-TI瞬態仿真模式如何選擇?

    Tina-TI 做瞬態仿真時,如下圈出來的Calculate operating point ~Zero initial values 3個模式詳解下~仿真時該如何選擇~
    發表于 08-05 06:10

    如何在ModelSim中添加Xilinx仿真

    今天給大俠帶來在FPGA設計應用中如何在ModelSim中添加Xilinx仿真庫,話不多說,上貨。 注意:ModelSim一定要安裝在不帶空格的目錄下,即不要安裝在“Program
    發表于 07-03 18:16

    FPGA | Xilinx ISE14.7 LVDS應用

    利用FPGA內部自帶的SelectIO資源,利用ISERDESE2OSERDESE2,實現串-并,并-串的轉換,理論速度可達到750Mbs, 參考資料:Spartan-6 FPGA Data
    發表于 06-13 16:28

    xilinx中的carry4原語在高云FPGA中用什么原語替代?

    xilinx中的carry4原語在高云FPGA中用什么原語替代
    發表于 05-09 16:13

    Xilinx ISE14.7 LVDS的應用

    最近項目需要用到差分信號傳輸,于是看了一下FPGA上差分信號的使用。Xilinx FPGA中,主要通過原語實現差分信號的收發:OBUFDS(差分輸出BUF),IBUFDS(差分輸入BUF)。
    的頭像 發表于 05-07 17:17 ?995次閱讀
    <b class='flag-5'>Xilinx</b> ISE14.7 LVDS的應用

    Xilinx FPGA編程技巧之常用時序約束詳解

    今天給大俠帶來Xilinx FPGA編程技巧之常用時序約束詳解,話不多說,上貨。 基本的約束方法 為了保證成功的設計,所有路徑的時序要求必須能夠讓執行工具獲取。最普遍的三種路徑以及異常路徑為
    發表于 05-06 15:51

    電路仿真軟件有哪些類型 電路仿真接線app軟件哪個好

    軟件有Xilinx ISE、Altera Quartus等。這類軟件提供了大量的數字邏輯器件模型庫,用戶可以通過拖拽和連接不同的器件模型,輕松構建和驗證數字電路的功能和性能。在這類軟件中,用戶可以對時序關系、邏輯功能等進行仿真與調試,以確
    的頭像 發表于 05-04 10:49 ?3297次閱讀

    Xilinx SelectIO資源內部的IDELAYE2應用介紹

    本文我們介紹下Xilinx SelectIO資源內部IDELAYE2資源應用。IDELAYE2原句配合IDELAYCTRL原句主要用于在信號通過引腳進入芯片內部之前,進行延時調節,一般高速端口信號由于走線延時等原因,需要通過ID
    的頭像 發表于 04-26 11:33 ?2079次閱讀
    <b class='flag-5'>Xilinx</b> SelectIO資源內部的IDELAYE<b class='flag-5'>2</b>應用介紹

    產品詳解 | 瑞薩電子RZ/N2L MPU

    產品詳解 | 瑞薩電子RZ/N2L MPU
    的頭像 發表于 04-20 08:06 ?1033次閱讀
    產品<b class='flag-5'>詳解</b> | 瑞薩電子RZ/N<b class='flag-5'>2</b>L MPU

    Xilinx FPGA編程技巧之常用時序約束詳解

    今天給大俠帶來Xilinx FPGA編程技巧之常用時序約束詳解,話不多說,上貨。 基本的約束方法為了保證成功的設計,所有路徑的時序要求必須能夠讓執行工具獲取。最普遍的三種路徑以及異常路徑為
    發表于 04-12 17:39

    產品詳解 | 瑞薩電子RZ/T2L MPU

    產品詳解 | 瑞薩電子RZ/T2L MPU
    的頭像 發表于 03-21 08:05 ?512次閱讀
    產品<b class='flag-5'>詳解</b> | 瑞薩電子RZ/T<b class='flag-5'>2</b>L MPU

    產品詳解 | 瑞薩電子RZ/T2M MPU

    產品詳解 | 瑞薩電子RZ/T2M MPU
    的頭像 發表于 03-13 08:05 ?723次閱讀
    產品<b class='flag-5'>詳解</b> | 瑞薩電子RZ/T<b class='flag-5'>2</b>M MPU
    主站蜘蛛池模板: 草莓视频app深夜福利| 国产在线观看香蕉视频| 久久是热这里只有精品| 稚嫩挤奶h调教h| 欧美精品久久久久性色AV苍井| hd性欧美俱乐部中文| 色偷偷影院| 狠很橹快播| 99pao成人国产永久免费视频| 欧美最猛性XXXXX肛交| 国产精品伦理一二三区伦理 | 67194线在线精品观看| 人妻超级精品碰碰在线97视频| 国产精品www视频免费看| 一二三四韩国免费观看| 欧美日韩永久久一区二区三区| 国产成人综合95精品视频免费| 亚洲欧美一区二区三区导航| 老师的快感电影完整版| 调教美丽的白丝袜麻麻视频| 亚洲精品理论电影在线观看| 女仆翻身大作战| 国产午夜精品一区二区理论影院| 中文字幕欧美一区| 日韩免费精品视频| 久久无码AV亚洲精品色午夜| 大香伊人中文字幕精品| 又紧又大又爽精品一区二区| 日韩免费一区| 久久精品电影院| 风月宝鉴之淫乱英雄传 电影| 亚洲综合免费视频| 色网址在线观看| 麻豆区蜜芽区| 国内久经典AAAAA片| 超碰97av 在线人人操| 亚洲欧美日韩人成 | 鸡鸡插屁股| 丰满的美女射精动态图| 538prom国产在线视频一区| 婷婷综合久久狠狠色|