色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

FPGA虛擬時鐘的使用方法

C29F_xilinx_inc ? 來源:賽靈思 ? 作者:賽靈思 ? 2022-02-16 16:21 ? 次閱讀

在我之前寫的FPGA時序約束教程中,有一篇中講到了虛擬時鐘

FPGA時序約束理論篇之時鐘周期約束

但文中對虛擬時鐘的應用介紹的還不夠詳細,因此這里我們再對虛擬時鐘做一個更加細致的介紹。

首先,虛擬時鐘用于什么地方?

虛擬時鐘通常用于設定輸入和輸出的延時,即set_input_delay和set_output_delay??赡苡型瑢W忘記這兩個約束的用法了,這里我們再展示一下:

set_input_delay 0.5 -clock clkA [get_ports A]
set_output_delay 1 -clock clkB [get_ports B]

其中clkA和clkB就是我們使用create_clock創建的主時鐘或者虛擬時鐘。

主時鐘在創建的時候需要指定時鐘輸入端口,虛擬時鐘不需要指定端口,所以稱之為虛擬時鐘。那什么場景下要用到虛擬時鐘呢?

1. 外部IO的參考時鐘并不是設計中的時鐘

下圖中,外部IO的參考時鐘比設計中主時鐘的路徑上多了一個BUFFER,因此我們需要使用虛擬時鐘來進行約束。

FPGA虛擬時鐘的使用方法
create_clock -name sysclk -period 10 [get_ports clkin]
create_clock -name virtclk -period 10
set_clock_latency -source 1 [get_clock virtclk]
set_input_delay -clock virtclk -max 4 [get_ports dina]
set_input_delay -clock virtclk -min 2 [get_ports dina]

2. FPGA I/O路徑參考時鐘來源于內部衍生時鐘,但與主時鐘的頻率關系并不是整數倍

如果I/O路徑參考時鐘源于內部的衍生時鐘,那set_input_delay和set_output_delay約束中-clock參數后的時鐘不能是衍生時鐘,比如下圖的例子中,輸入10MHz時鐘經過了MMCM后去采輸入的數據。

FPGA虛擬時鐘的使用方法

a) 如果MMCM沒有負的相移而且輸出的頻率也是10MHz,那么直接使用主時鐘來約束input delay。

create_clock -period 100.000 -name clk [get_ports clk]
set_input_delay -clock clk -max 2.000 [get_ports datain]
set_input_delay -clock clk -min 1.000 [get_ports datain]

b) 如果MMCM輸出頻率是60MHz,那么這個衍生時鐘跟主時鐘并不是整數倍關系,這時就需要用到虛擬時鐘了

create_clock -period 100.000 -name clk [get_ports clk] 
create_clock -name clk_port_vclk -period 16.67
set_input_delay -clock clk_port_vclk -max 2 [get_ports datain]
set_input_delay -clock clk_port_vclk -min 1 [get_ports datain]

3. 在不修改時鐘特性的情況下針對I/O指定不同的jitter和latency

這個需求我們可以在Constraints Wizards中指定,簡單又方便
FPGA虛擬時鐘的使用方法

在設置完成后,可以看到Tcl Command Preview中提示的約束指令。

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1629

    文章

    21748

    瀏覽量

    603865
  • 端口
    +關注

    關注

    4

    文章

    971

    瀏覽量

    32092
  • 虛擬時鐘
    +關注

    關注

    0

    文章

    5

    瀏覽量

    6599
收藏 人收藏

    評論

    相關推薦

    請問ADC32xx的時鐘FPGA直接輸出嗎?

    大家好,我的ADC32XX 采樣率為125M,將轉換后的數據發送給FPGA,請問ADC32xx的時鐘FPGA直接輸出嗎?FPGA IO口是3.3V的,如果是這樣的話是不是得電平轉換
    發表于 01-02 08:30

    AB伺服軟件使用方法

    AB伺服軟件使用方法
    發表于 12-24 14:45 ?0次下載

    探針頭型使用方法有哪些

    探針頭型的使用方法多種多樣,具體取決于探針頭型的類型、被測對象的特性以及測試需求。以下是一些常見探針頭型的使用方法概述: 1. 凹頭探針 用途 :主要用于測試長導腳、端子及繞線柱等較長或較粗的被測點
    的頭像 發表于 09-07 10:57 ?681次閱讀

    DC/DC模擬的基本使用方法和特性確認方法

    本篇介紹了DC/DC模擬的基本使用方法及確認基本特性的方法
    的頭像 發表于 08-20 17:08 ?715次閱讀
    DC/DC模擬的基本<b class='flag-5'>使用方法</b>和特性確認<b class='flag-5'>方法</b>

    FPGA如何消除時鐘抖動

    FPGA(現場可編程門陣列)設計中,消除時鐘抖動是一個關鍵任務,因為時鐘抖動會直接影響系統的時序性能、穩定性和可靠性。以下將詳細闡述FPGA中消除
    的頭像 發表于 08-19 17:58 ?1396次閱讀

    FPGA異步信號處理方法

    FPGA(現場可編程門陣列)在處理異步信號時,需要特別關注信號的同步化、穩定性以及潛在的亞穩態問題。由于異步信號可能來自不同的時鐘域或外部設備,其到達時間和頻率可能不受FPGA內部時鐘
    的頭像 發表于 07-17 11:10 ?1182次閱讀

    淺談錫膏的儲存及使用方法

    錫膏(焊錫膏)是電子組裝過程中常用的材料,它的儲存和使用方法對保證焊接質量和性能至關重要。以下是詳細的儲存及使用方法
    的頭像 發表于 06-27 10:02 ?908次閱讀

    可編程電源使用方法

    可編程電源使用方法 可編程電源使用方法 摘要:本文詳細介紹了可編程電源的使用方法,包括其基本概念、主要功能、選擇原則、操作步驟、注意事項以及實際應用案例,旨在幫助讀者全面了解可編程電源
    的頭像 發表于 06-10 15:29 ?1039次閱讀

    手柄控制代碼及使用方法

    手柄控制代碼及使用方法
    的頭像 發表于 05-15 10:19 ?1867次閱讀

    FPGA時鐘電路結構原理

    FPGA 中包含一些全局時鐘資源。以AMD公司近年的主流FPGA為例,這些時鐘資源由CMT(時鐘管理器)產生,包括DCM、PLL和MMCM等
    發表于 04-25 12:58 ?1914次閱讀
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>時鐘</b>電路結構原理

    555集成芯片的使用方法

    555集成芯片的使用方法主要依賴于其特定的引腳功能和電路設計。
    的頭像 發表于 03-25 14:39 ?1516次閱讀

    FPGA輸入的時鐘信號必須是方波么?正弦波會有影響么?

    FPGA輸入的時鐘信號必須是方波么?正弦波會有影響么? FPGA是一種可編程邏輯器件,通常用于實現數字電路。輸入時鐘信號是FPGA中非常重要
    的頭像 發表于 01-31 11:31 ?3589次閱讀

    FPGA時鐘內部設計方案

    使用控制信號,禁止或允許時鐘輸入到寄存器和其他同步元件上的一種方法。它能有效降低功耗,因此被廣泛應用于ASIC設計中。然而,在FPGA設計中應盡量避免使用門控時鐘。 ? ? 不建議
    發表于 01-22 09:30 ?637次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時鐘</b>內部設計方案

    FPGA時鐘的用法

    生成時鐘包括自動生成時鐘(又稱為自動衍生時鐘)和用戶生成時鐘。自動生成時鐘通常由PLL或MMCM生成,也可以由具有分頻功能的
    的頭像 發表于 01-11 09:50 ?1916次閱讀
    <b class='flag-5'>FPGA</b>中<b class='flag-5'>時鐘</b>的用法

    磁場探頭和電場探頭的使用方法與技巧

    磁場探頭和電場探頭的使用方法與技巧? 磁場探頭和電場探頭是用于測量磁場和電場的儀器。它們在科學實驗、工程設計和實際應用中都起著重要的作用。本文將詳細介紹磁場探頭和電場探頭的使用方法和技巧。 一、磁場
    的頭像 發表于 01-05 14:31 ?938次閱讀
    主站蜘蛛池模板: 牛牛在线视频| 国产三级在线精品男人的天堂| 天天日免费观看视频一1| 秋霞av伦理片在线观看| 午夜福利网国产A| 伊人久久亚洲综合天堂| 最新无码二区日本专区| 阿片在线播放| 国产亚洲AV精品无码麻豆| 老湿影院色情a| 日韩高清在线亚洲专区| 亚洲熟女乱色一区二区三区| 97视频在线观看免费视频| 国产大片51精品免费观看| 护士一级片| 人人澡人人擦人人免费| 又大又硬又爽免费视频| 精品视频免费在线| 天天摸夜添狠狠添高| 刺激一区仑乱| 久久兔费黄A级毛片高清| 青青操久久| 超碰在线视频caoporn| 久久免费精品一区二区| 怡春院欧美一区二区三区免费| 久久婷婷电影网| 99久久精品国内| 国产在线精品视频二区| 人妻夜夜爽天天爽三区麻豆AV网站| A国产一区二区免费入口| 精品午夜久久福利大片免费 | 亚洲黄色免费在线观看| 9277高清在线观看视频| 国产三级在线观看视频| 亚洲成AV人电影在线观看| 春药按摩人妻中文字幕| 我不卡影院手机在线观看| H厨房灌草莓| 麻豆国产自制在线观看| 亚洲乱码国产乱码精品精98| 国产不卡一卡2卡三卡4卡网站|