色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Vivado 設計輸入紀事—RTL 設計輸入

C29F_xilinx_inc ? 來源:賽靈思 ? 作者:賽靈思 ? 2022-02-16 16:21 ? 次閱讀

注:本文轉自賽靈思中文社區論壇,源文鏈接在此。本文原作者為XILINX工程師。

以下為個人譯文,僅供參考,如有疏漏之處,還請不吝賜教。

本篇博文是面向希望學習使用 Vivado 進行 FPGA 設計輸入的新手的系列博文第一講。

這些實踐旨在為用戶提供快速入門指導,幫助其簡要了解工具流程原理。我們選擇了一項非常簡單的設計,便于讀者理解流程中的不同步驟。

這些實踐將按如下順序展示:RTL 流程、基于 IP 的流程、基于 HLS 的流程、基于 IP integrator 的流程,最后是混用前述流程創建設計。

第一項實踐是 RTL 流程。 每個步驟都包含截屏,以便用戶自行嘗試時參考。

步驟如下:

1.調用 Vivado。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

2.用戶提供自己選擇的工程名稱(該工具使用的默認工程名稱為 project_1),并提供工程創建路徑,然后單擊“下一步 (Next)”。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

3.默認情況下,該工具會選中“選擇 RTL 工程 (Select RTL Project)”,然后單擊“下一步 (Next)”。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

4. 該工具會提示您選擇“Add Sources”以添加源文件。選擇“添加文件 (Add Files)”,然后提供此處隨附的 RTL 文件 (top.v)。

單擊“下一步 (Next)”。

poYBAGIMpuiATdUtAACa5Gb2xmY151.png

以下提供了 RTL 的詳細信息

pYYBAGIMpuqAS1aHAADhF-IAkIo838.png

RTL 用于描述自由運行的簡單 32 位計數器。當該計數器達到其最大值時,就會翻轉觸發器。此觸發器連接到輸出。

這樣會使用另一個緩沖器 (IBUFDS) 來創建時鐘差分對,其輸出將在設計中使用。在下一節中,我們將講解為何使用此緩沖器。

5. 您將看到“添加約束 (Add Constraints)”對話框,其中包含“添加 (Add Files)”選項。

選擇“Add Files”,提供此處隨附的 top.xdc,然后單擊“Next”。

pYYBAGIMpuuAQJhiAACDA0JtVMw359.png

6.單擊開發板選項卡,搜索 zcu102,然后選擇此處顯示的開發板(Zynq UltraScale+ ZCU102 評估板)。

單擊“下一步 (Next)”。

poYBAGIMpu2AVS7pAAEFxM43emY110.png

7.在以下窗口中,單擊“完成 (Finish)”。

8.在左側,您將看到“生成比特流 (Generate Bitstream)”按鈕。

單擊此按鈕。

pYYBAGIMpu-AQ1SbAABD3JCQ8NI025.png

9.這樣將顯示如下提示:

poYBAGIMpvCACPaQAADukalIHsI021.png

單擊“是 (Yes)”。這樣將彈出另一條提示,要求您啟動運行。單擊“OK”。

這樣就會觸發綜合 (Synthesis),然后實現 (Implementation),并且將生成比特流。

實踐至此完成。

XDC 文件內容:

XDC 文件包含:

create_clock -name clk_p -period 8 [get_ports clk_p]
set_property LOC G21 [get_ports clk_p]
set_property LOC AG14 [get_ports dout]

set_property IOSTANDARD LVCMOS18 [get_ports dout]
set_property IOSTANDARD DIFF_HSTL_I_18 [get_ports clk_p]

使用 IBUFDS 的原因:

使用 IBUFDS 的原因是因為我們選擇的開發板需要差分時鐘。

每塊開發板都有其自己特定的管腳 LOC 及其支持的系統時鐘頻率。

此開發板支持 300Mhz 和 125Mhz,因此我們使用 125Mhz 和與之對應的管腳 LOC。輸出連接至 LED,其 LOC 為 AG14。最后 2 條語句用于指定端口的 IOSTANDARD。

審核編輯:符乾江

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • RTL
    RTL
    +關注

    關注

    1

    文章

    385

    瀏覽量

    59835
  • Vivado
    +關注

    關注

    19

    文章

    812

    瀏覽量

    66621
收藏 人收藏

    評論

    相關推薦

    每次Vivado編譯的結果都一樣嗎

    tool inputs? 對大多數情況來說,Vivado編譯的結果是一樣的,但要保證下面的輸入是一樣的: Design sources Constraints Tcl scripts and command
    的頭像 發表于 11-11 11:23 ?406次閱讀
    每次<b class='flag-5'>Vivado</b>編譯的結果都一樣嗎

    Vivado使用小技巧

    有時我們對時序約束進行了一些調整,希望能夠快速看到對應的時序報告,而又不希望重新布局布線。這時,我們可以打開布線后的dcp,直接在Vivado Tcl Console里輸入更新后的時序約束。如果調整
    的頭像 發表于 10-24 15:08 ?358次閱讀
    <b class='flag-5'>Vivado</b>使用小技巧

    如何從模擬輸入設備切換到數字輸入設備

    電子發燒友網站提供《如何從模擬輸入設備切換到數字輸入設備.pdf》資料免費下載
    發表于 09-26 10:41 ?0次下載
    如何從模擬<b class='flag-5'>輸入</b>設備切換到數字<b class='flag-5'>輸入</b>設備

    LLC輸入功率與什么有關系

    LLC(諧振變換器)的輸入功率與多個因素密切相關,這些因素共同決定了輸入功率的大小和穩定性。以下是主要的影響因素: 輸出電壓和電流需求 : 輸出功率是輸入功率減去轉換過程中的損耗后得到的。因此
    的頭像 發表于 09-06 15:55 ?576次閱讀

    SinaPos的輸入接口

    輸入接口包括 19 個不同數據格式的輸入。 功能塊的初始配置期間,這些輸入均設置有初始值。輸入接口一覽如下:
    發表于 09-02 14:41 ?0次下載

    差分放大電路同相輸入和反相輸入怎么看

    差分放大電路是一種具有高輸入阻抗、高增益和高抗干擾能力的放大電路,廣泛應用于模擬信號處理領域。差分放大電路的輸入端有兩個,分別是同相輸入端和反相輸入端。 同相
    的頭像 發表于 08-05 09:24 ?2797次閱讀

    如何判斷同相輸入端和反相輸入

    和兩個輸入端口,即同相輸入端(Non-inverting Input)和反相輸入端(Inverting Input)。正確區分和判斷這兩個輸入端對于電路的設計、調試和性能優化都至關重要
    的頭像 發表于 07-31 10:54 ?2196次閱讀

    單端輸入和雙端輸入的區別是什么

    單端輸入和雙端輸入是電子電路設計中的兩種不同的輸入方式。它們在電路設計、性能和應用方面存在一些關鍵的區別 單端輸入 單端輸入是一種常見的
    的頭像 發表于 07-31 10:50 ?2725次閱讀

    與非門的閑置輸入端如何處理

    在數字電路設計中,與非門(NAND gate)是一種基本的邏輯門,它具有兩個或多個輸入端和一個輸出端。當所有輸入端都為高電平時,輸出端為低電平;當至少有一個輸入端為低電平時,輸出端為高電平。然而,在
    的頭像 發表于 07-30 14:47 ?1792次閱讀

    差模輸入信號的概念、原理及應用

    差模輸入信號(Differential Input Signal)是一種信號處理技術,主要用于模擬信號處理領域。它涉及到兩個輸入信號,這兩個輸入信號通常被稱為差模信號(Differential
    的頭像 發表于 07-15 10:29 ?1262次閱讀

    差分放大電路單端輸入和雙端輸入的區別

    差分放大電路是一種常見的模擬電路,廣泛應用于信號放大、濾波、比較等場合。差分放大電路根據輸入方式的不同,可以分為單端輸入和雙端輸入兩種形式。下面將介紹這兩種輸入方式的區別。 單端
    的頭像 發表于 07-08 14:54 ?3100次閱讀

    PLC輸入設備有哪些

    在工業自動化領域,PLC(可編程邏輯控制器)作為核心控制設備,其輸入設備扮演著至關重要的角色。這些輸入設備負責將外部信號轉化為PLC可以識別的數字信號,從而實現對設備的控制。本文將詳細介紹PLC
    的頭像 發表于 06-15 11:25 ?1433次閱讀

    詳解Vivado非工程模式的精細設計過程

    將設置設計的輸出路徑,設置設計輸出路徑的步驟如下所示。 第一步:如圖4.3所示,在“Vivado%”提示符后輸入命令“set outputDir ./gate_Created_Data/top_output”。
    發表于 04-03 09:34 ?1751次閱讀
    詳解<b class='flag-5'>Vivado</b>非工程模式的精細設計過程

    ttl門多余的輸入端如何處理 ttl多余的輸入端可以懸空嗎

    ttl門多余的輸入端如何處理 ttl多余的輸入端可以懸空嗎? TTL門是一種常見的數字邏輯門。TTL門通常具有多個輸入端,其中有些輸入端在特定的使用情況下可能是多余的。這些多余的
    的頭像 發表于 02-18 16:26 ?3212次閱讀
    主站蜘蛛池模板: 各种肉黄浪荡故事集| 毛片在线播放网址| 少妇精品无码一区二区三区| 国产成人高清精品免费观看| 先锋影音 av| 久久精品国产亚洲AV热无遮挡| 91精品专区| 使劲别停好大好深好爽动态图| 果冻传媒mv国产陈若瑶主演| 97草碰在线视频免费| 十二月综合缴缴情| 九九热最新视频| 成人欧美尽粗二区三区AV| 亚洲乱码日产精品BD在线下载| 男女交性视频无遮挡全过程| 国产成人综合在线| 51国产偷自视频在线视频播放| 视频一区视频二区ae86| 久久久久亚洲| 国产精品久久久久久久AV下载| 最近2018年手机中文字幕| 双性精跪趴灌满h室友4p| 辣文肉高h粗暴| 国产午夜精品一区理论片飘花| 99久久精品国产一区二区三区| 亚洲久久少妇中文字幕| 日本视频中文字幕一区二区| 久久久久久天天夜夜天天| 国产99精品视频一区二区三区| 777午夜精品久久AV蜜臀| 亚洲精品久久久WWW游戏好玩| 欧美特级特黄a大片免费| 久久99精品国产免费观看| 国产成人小视频| BL全肉多攻NP高H| 伊人久久中文字幕久久cm | 久久亚洲欧美国产综合| 沟沟人体一区二区| 9988电影网| 在线自拍亚洲视频欧美| 亚洲精品成人无码A片在线|