學習內容
本文介紹關于AXI BRAM控制器的相關內容,針對數據量較少、地址不連續、長度不規則的情況,通過 BRAM 來進行數據的交互。
開發環境
AXI BRAM控制器
簡介
BRAM控制器可以用于與 AXI 互連和系統主設備的集成,以與本地塊 RAM 進行通信。內核支持到塊 RAM 的單次和突發傳輸,并針對性能進行了優化。AX14或AX14- lite控制器配置中,可以配置到BRAM塊的單個端口或到BRAM塊的兩個端口。通過第二個AX14-Lite控制端口連接,AXI BRAM控制器IP可以在數據路徑上配置ECC功能,并通過可用的外部ECC寄存器設置。AXI BRAM Controller IP核的頂級端口連接和主模塊如下圖所示。展示了AX14-Lite模式下,AXI BRAM核心與BRAM塊的連接。可以利用BRAM塊的單端口利用率或BRAM塊的雙端口模式(通過參數設置)。
下圖展示了為支持AX14接口而生成的HDL核心。對BRAM塊的單端口使用可以配置在雙端口配置中增強的性能設置。,詳細結構框圖如下:
所有與axis主設備的通信都是通過一個5通道的axis接口進行的。所有寫操作都在AXI總線的寫地址通道(AW)上啟動,該通道指定了寫事務的類型和相應的地址信息。寫數據通道(W)為單個或突發寫操作通信所有寫數據。寫響應通道(B)用作寫操作的握手或響應。
在讀操作上,當AXI主程序請求讀傳輸時,讀地址通道(AR)通信所有地址和控制信息。當可以處理讀操作時,AXI從AXI BRAM控制器IP響應讀地址通道(AR)。當讀取數據可用時,讀數據通道(R)將轉換操作的數據和狀態。
支持內存大小
AXI BRAM Controller支持的內存最大為2mbytes(字節大小為8或9),支持的內存寬度和深度如表1-1所示。
AXI BRAM Controller IP支持的最小深度為512字節。任何小于512的深度都被調整為512字節。
系統框圖與工程設計
工程功能設計為PS 將串口接收到的數據寫入 BRAM,然后從 BRAM 中讀出數據,并通過串口打印出來;與此同時, PL 從 BRAM 中同樣讀出數據,并通過 ILA 來觀察讀出的數據與串口打印的數據是否一致。系統框圖如下:
使能clock復位和 M_GP0接口,
配置時鐘,
配置BRAM控制器和BRAM
接著配置BRAM控制器,基本是默認配置。
配置BRAM
連接連線后系統如下,
設計讀取控制模塊
首先點擊tools創建一個新的IP,
選擇創建一個AXI4接口的IP。
編輯IP名稱等信息,設計IP的接口信息,
點擊finish,完成IP創建。
在IP目錄下找到自己創建好的IP,右鍵進行IP的編輯。
在頂層進行例化ram接口。
在AXI總線協議實現的文件中添加IP的例化,實現AXI-Lite接口的功能進行參數的傳遞。
這里引用正點原子的BRAM的讀取模塊,bram_rd.v
module bram_rd(
input clk , //時鐘信號
input rst_n , //復位信號
input start_rd , //讀開始信號
input [31:0] start_addr , //讀開始地址
input [31:0] rd_len , //讀數據的長度
//RAM端口
output ram_clk , //RAM時鐘
input [31:0] ram_rd_data, //RAM中讀出的數據
output reg ram_en , //RAM使能信號
output reg [31:0] ram_addr , //RAM地址
output reg [3:0] ram_we , //RAM讀寫控制信號
output reg [31:0] ram_wr_data, //RAM寫數據
output ram_rst //RAM復位信號,高電平有效
);
define
reg [1:0] flow_cnt;
reg start_rd_d0;
reg start_rd_d1;
define
wire pos_start_rd;
//*****************************************************
main code
//*****************************************************
assign ram_rst = 1'b0;
assign ram_clk = clk ;
assign pos_start_rd = ~start_rd_d1 & start_rd_d0;
//延時兩拍,采start_rd信號的上升沿
always @(posedge clk or negedge rst_n) begin
begin
start_rd_d0 <= 1'b0;
start_rd_d1 <= 1'b0;
end
else begin
start_rd_d0 <= start_rd;
start_rd_d1 <= start_rd_d0;
end
end
//根據讀開始信號,從RAM中讀出數據
always @(posedge clk or negedge rst_n) begin
begin
flow_cnt <= 2'd0;
ram_en <= 1'b0;
ram_addr <= 32'd0;
ram_we <= 4'd0;
end
else begin
case(flow_cnt)
begin
: begin
ram_en <= 1'b1;
ram_addr <= start_addr;
flow_cnt <= flow_cnt + 2'd1;
end
end
begin
: - start_addr == rd_len - 4) begin //數據讀完
ram_en <= 1'b0;
flow_cnt <= flow_cnt + 2'd1;
end
else
ram_addr <= ram_addr + 32'd4; //地址累加4
end
begin
: ram_addr <= 32'd0;
flow_cnt <= 2'd0;
end
endcase
end
end
endmodule
創建引腳接口,選擇任意一個BRAM引腳,創建封裝
設置接口和名稱,
完成接口映射。
然后點擊完成IP封裝。
完成系統設計
完成IP的創建后,添加IP,完成連線,整體設計如下圖所示:
然后在完成綜合后進行setup debug ,抓取b端口有關的信號。
完成添加DEDUG信號后,進行綜合生成bit流,然后導出硬件,launch SDK。
SDK軟件部分
新建應用工程,main.c中輸入以下代碼:
char input_data[1024];
int len_input_data;
int main(){
while(1){
int i=0;
int wr_cnt=0;
printf("ps_pl_bram test
");
scanf("%s",input_data);
len_input_data= strlen(input_data);
for(i = START_ADDR*BRAM_DATA_BYTE;i<(START_ADDR + len_input_data)*BRAM_DATA_BYTE;i+=BRAM_DATA_BYTE)
{
PS_PL_RD_IP_mWriteReg(XPAR_BRAM_0_BASEADDR,i,input_data[wr_cnt]);
wr_cnt++;
}
//配置起始地址
PS_PL_RD_IP_mWriteReg(PS_PL_BASEADDR,PL_BRAM_START_ADDR,START_ADDR*BRAM_DATA_BYTE);
//配置讀取長度
PS_PL_RD_IP_mWriteReg(PS_PL_BASEADDR,PL_BRAM_LEN,len_input_data*BRAM_DATA_BYTE);
//使能脈沖
PS_PL_RD_IP_mWriteReg(PS_PL_BASEADDR,PL_BRAM_START,1);
PS_PL_RD_IP_mWriteReg(PS_PL_BASEADDR,PL_BRAM_START,0);
for(i = START_ADDR*BRAM_DATA_BYTE;i<(START_ADDR + len_input_data)*BRAM_DATA_BYTE;i+=BRAM_DATA_BYTE)
{
printf("bram address : %d ,read data : %c
",i/BRAM_DATA_BYTE,PS_PL_RD_IP_mReadReg(XPAR_BRAM_0_BASEADDR,i));
}
}
}
部分代碼講解
本次工程比較簡單,在while循環中實現了對串口輸入的存儲和顯示打印。
運行效果
ila抓取數據
通過ILA抓取的讀取數據和發送寫入的數據一致。
審核編輯 :李倩
-
控制器
+關注
關注
112文章
16332瀏覽量
177812 -
BRAM
+關注
關注
0文章
41瀏覽量
10956
原文標題:SDK軟件部分
文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關注!文章轉載請注明出處。
發布評論請先 登錄
相關推薦
評論