色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

如何用vcs+verdi仿真Verilog文件并查看波形呢?

傅里葉的貓 ? 來源:傅里葉的貓 ? 2023-05-08 16:00 ? 次閱讀

我們以一個簡單的加法器為例,來看下如何用vcs+verdi仿真Verilog文件并查看波形。

源文件內容如下:

//adder.v
moduleadder(
inputclk,
inputrst,
input[9:0]A,
input[9:0]B,
outputreg[10:0]C
);

always@(posedgeclk)begin
if(rst)
C<=?#`FFD?'b0;
????else
????????C?<=?#`FFD?A?+?B;
end

endmodule

我們再定義一個宏定義的文件:

//macro_define.sv
`defineFFD1ns

我們需要再定義一個testbench文件:

//test.sv
moduletest;

regclk;
regrst;
reg[9:0]A;
reg[9:0]B;
wire[10:0]C;

initialbegin
rst=1;
A=0;
B=0;
#1us;
rst=0;
#1us;
A=10'd100;
B=10'd200;
#1us;
A=10'd300;
B=10'd400;
#20us;
$finish;
end

initialbegin
clk=0;
forever#10nsclk<=?~clk;
end

adder?add_inst(
????.clk(clk),
????.rst(rst),
????.A(A),
????.B(B),
????.C(C)
);

`ifdef?DUMP_FSDB
????initial?begin?
????????????????$fsdbDumpfile("tb.fsdb");
????????$fsdbDumpvars("+all");

????????//string?testname;
????????//if($value$plusargs("TESTNAME=%s",?testname))?begin
????????//????$fsdbDumpfile({testname,?"_sim_dir/",?testname,?".fsdb"});
????????//end?else?begin
????????//????$fsdbDumpfile("tb.fsdb");
????????//end
????end?
`endif?

endmodule

再定義一個filelist文件:dut.f

./macro_define.sv
./adder.v
./test.sv

最后就是需要一個Makefile文件了:

#!/bin/make
all:compsim

comp:
vcs-full64-timescale=1ns/1ps-V-R-sverilog
-debug_access+all+vc+v2k-kdb
-lvcs.log
-fdut.f+define+DUMP_FSDB=1
-toptest

sim:
./simv-lsimv.log

clean:
rm-rf*~corecsrcsimv*vc_hdrs.hucli.keyurg**.lognovas.**.fsdb*verdiLog64*DVEfiles*.vpd

總的文件如下:

8d1f682a-ed75-11ed-90ce-dac502259ad0.png

執行make all:

8d346798-ed75-11ed-90ce-dac502259ad0.png

跑完后如下:

8d497e08-ed75-11ed-90ce-dac502259ad0.png

生成的文件如下:

8d598708-ed75-11ed-90ce-dac502259ad0.png

用verdi打開波形:verdi -ssf tb.fsdb

8d8f14a4-ed75-11ed-90ce-dac502259ad0.png

可以看到波形如下:

8da58540-ed75-11ed-90ce-dac502259ad0.png






審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • Verilog
    +關注

    關注

    28

    文章

    1351

    瀏覽量

    110074
  • 加法器
    +關注

    關注

    6

    文章

    183

    瀏覽量

    30114
  • VCS
    VCS
    +關注

    關注

    0

    文章

    79

    瀏覽量

    9600

原文標題:vcs+verdi仿真Verilog代碼

文章出處:【微信號:傅里葉的貓,微信公眾號:傅里葉的貓】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    請教VCSverdi怎么聯合使用

    最近要用到VCS仿真后生成FSDB文件,然后在Verdi中進行自動偵錯,請問我怎么安裝Verdi這個軟件以及如何啟動license,并且怎么
    發表于 01-22 14:53

    VCS+Verdi如何安裝?怎么破解?

    VCS+Verdi如何安裝?怎么破解?
    發表于 06-21 06:11

    VCS仿真卡住,為什么無法生成verdi波形文件

    在make com編譯成功后,terminal卡住了一直沒有反應,這是什么情況有大佬知道嗎?VCS仿真卡住,為什么無法生成verdi波形文件
    發表于 06-21 08:14

    基于linux系統的VCS使用及仿真說明

    代碼如下:頂層模塊代碼:波特率產生模塊接收模塊代碼:發送數據模塊一、VCS仿真下面開始進行VCS仿真VCS要使用圖形化界面,必須得先編譯得
    發表于 07-18 16:18

    請問如何更新bin/run.makefile以支持VCS+Verdi工具?

    如何更新bin/run.makefile以支持VCS+Verdi工具?
    發表于 08-11 10:08

    在Linux上用vcs+verdi對demo_nice進行仿真沒有成功的原因?

    請教大神,我在Linux上用vcs+verdi對demo_nice進行仿真,但是沒有成功 我是用hibrd.sdk把demo_nice編譯成.verilog文件的,其內容如下 另
    發表于 08-12 08:07

    vcsverdi的調試及聯合仿真案例

    若想用Verdi觀察波形,需要在仿真時生成fsdb文件,而fsdb在vcs或者modelsim中的生成是通過兩個系統調用$fsdbDumpf
    的頭像 發表于 09-22 15:01 ?8622次閱讀

    如何通過自動化腳本實現Questasim和Verdi的聯合仿真

    Verdi是用來仿真以及debug波形的工具,但它不能夠用來編譯verilog和systemverilog文件,所以需要借助第三方EDA工具
    的頭像 發表于 06-13 17:00 ?4564次閱讀
    如何通過自動化腳本實現Questasim和<b class='flag-5'>Verdi</b>的聯合<b class='flag-5'>仿真</b>

    如何使用Icarus Verilog+GTKWave來進行verilog文件的編譯和仿真

    Windows+Linux+MacOS,并且源代碼開源。通過tb文件可以生成對應的仿真波形數據文件,通過GTKWave可以查看仿真
    的頭像 發表于 07-27 09:16 ?5387次閱讀
    如何使用Icarus <b class='flag-5'>Verilog</b>+GTKWave來進行<b class='flag-5'>verilog</b><b class='flag-5'>文件</b>的編譯和<b class='flag-5'>仿真</b>

    利用vcs+verdi仿真工具蜂鳥E200系列處理器仿真分析

    開源RISC-V Hummingbird E203(蜂鳥E203)的仿真工具是開源的iverilog,這里利用vcs+verdi仿真工具進行仿真
    的頭像 發表于 11-17 10:28 ?2920次閱讀

    全網最實用的Verdi教程1

    Verdi是一個功能強大的debug工具,可以配合不同的仿真軟件進行debug,很多企業常用VCS+Verdi或者Xcelium/xrun+Verdi的方式進行代碼的
    的頭像 發表于 05-05 14:49 ?1.7w次閱讀
    全網最實用的<b class='flag-5'>Verdi</b>教程1

    全網最實用的Verdi教程2

    Verdi是一個功能強大的debug工具,可以配合不同的仿真軟件進行debug,很多企業常用VCS+Verdi或者Xcelium/xrun+Verdi的方式進行代碼的
    的頭像 發表于 05-05 14:53 ?8535次閱讀
    全網最實用的<b class='flag-5'>Verdi</b>教程2

    全網最實用的Verdi教程3

    Verdi是一個功能強大的debug工具,可以配合不同的仿真軟件進行debug,很多企業常用VCS+Verdi或者Xcelium/xrun+Verdi的方式進行代碼的
    的頭像 發表于 05-05 14:53 ?5689次閱讀
    全網最實用的<b class='flag-5'>Verdi</b>教程3

    何用vcs+verdi仿真Verilog文件

    我們以一個簡單的加法器為例,來看下如何用vcs+verdi仿真Verilog文件
    的頭像 發表于 05-11 17:03 ?1912次閱讀
    如<b class='flag-5'>何用</b><b class='flag-5'>vcs+verdi</b><b class='flag-5'>仿真</b><b class='flag-5'>Verilog</b><b class='flag-5'>文件</b>

    Verdi環境配置、生成波形的方法

    Verdi是一個功能強大的debug工具,可以配合不同的仿真軟件進行debug,很多企業常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式進行代碼的
    的頭像 發表于 05-29 09:48 ?3835次閱讀
    <b class='flag-5'>Verdi</b>環境配置、生成<b class='flag-5'>波形</b>的方法
    主站蜘蛛池模板: 亚洲综合色婷婷在线影院| 免费国产成人手机在线观看 | 欧美精品高潮呻吟AV久久| 成人 迅雷下载| 亚洲av欧美在我| 久久免费精品国产72精品剧情| 91热久久免费精品99| 日本漂亮妈妈7观整有限中| 国产午夜精品理论片| 中文字幕精品在线视频| 日本经典片免费看| 和老外3p爽粗大免费视频| 91久久99久91天天拍拍| 色久天| 国产精品免费视频能看| 在线观看中文字幕国产| 日本视频久久| 九九国产精品成人AV麻豆| CHINESE熟女老女人HD视频| 午夜在线视频国产极品片 | 2018久久视频在线视频观看| 日韩欧美国产免费看清风阁| 狠狠色色综合网站| japanesen女同| 亚洲欧美日本中文子不卡| 欧美男女爱爱| 国内卡一卡二卡三免费网站| 99久视频只有精品2019| 无码欧美毛片一区二区三在线视频 | 两个人在线观看的视频720| 国产99小视频| 在线观看国产视频| 日本人69xxx| 久久久久琪琪精品色| 广东95后小情侣酒店自拍流出| 伊人影院久久| 色橹| 免费看国产曰批40分钟| 国产免费福利在线视频| 99日韩精品| 亚洲欧美一区二区三区四区|