色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

VCS/XRUN如何創建一個非UVM的簡單仿真環境?

sanyue7758 ? 來源:芯片設計驗證 ? 2023-05-12 12:37 ? 次閱讀

設計碼完代碼后,有時候想簡單調試一下基本的通路,此時還沒有驗證資源進來,可以仿照modesim仿真的方法,創建一個.v/.sv的頂層,里面例化DUT,里面加預期激勵;

下面是VCS/XRUN兩種仿真工具最簡單的demo,spi_slv.v是待測dut,tb_top.sv為頂層top,例化dut, 里面添加對應的激勵;

1.VCSdemo

步驟一:c bash下設置工具對應的環境變量(如果已經設置好,則忽略)

setenvNOVAS_HOME $ENVDIR/apps/synopsys/verdi/2017.03
setenvVERDI_HOME $ENVDIR/apps/synopsys/verdi/2017.03
setenvLD_LIBRARY_PATH$VERDI_HOME/share/PLI/lib/LINUX64:$VERDI_HOME/share/PLI/IUS/LINUX64/boot:$LD_LIBRARY_PATH

步驟二:編譯運行對應的.v/.sv 文件 + dumpfsdb所需要的pli

vcs -full64 -R spi_master.v tb_top.sv +time_scale=1ns -debug_pp -LDFLAGS -rdynamic-P ${NOVAS_HOME}/share/PLI/VCS/LINUX64/novas.tab

步驟三:例化頂層,添加fsdb dump 的系統函數, 添加激勵

moduletb_top;
wire SDO;
wire SDI;
wireSCLK;
wireCS;
spi_slv m_spi(
.CS(CS),
.SDO(SDI),
.SDI(SDO),
.SCLK(SCLK)
);
initial begin
#10000;// simulation time
//TODO ADD code here
$finish();
end
initial begin
$fsdbDumpfile("test.fsdb");
$fsdbDumpvars(0,tb_top);
end
endmodule

步驟四:打開波形: verdi -f filelist.f -ssf test.fsdb

波形效果如下

daa16068-f076-11ed-90ce-dac502259ad0.png

2.XRUN demo:

步驟一:設置運行XRUN工具對應的環境變量

步驟二:編譯運行對應的.v/.sv 文件 + dump shm 波形

xrun-sv-c-elaboratespi_master.vtb_top.sv-accessrwc&&xrun-R-inputwave_dump.tcl

wave_dump.tcl 文件:

database-open waves -into test.shm -default -event
probetb_top -all -dynamic -depth all -tasks -functions -all -memories -variablestb_top
run
exit

步驟三:例化頂層,添加激勵

moduletb_top;
wireSDO;
wireSDI;
wire SCLK;
wireCS;
spi_slv m_spi(
.CS(CS),
.SDO(SDI),
.SDI(SDO),
.SCLK(SCLK)
);
initial begin
#10000;
//TODO ADD code here
$finish();
end
endmodule

步驟四:打開波形:simvision test.shm

波形效果如下:

dacc6eb6-f076-11ed-90ce-dac502259ad0.png

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 仿真
    +關注

    關注

    50

    文章

    4155

    瀏覽量

    134486
  • UVM
    UVM
    +關注

    關注

    0

    文章

    182

    瀏覽量

    19278
  • VCS
    VCS
    +關注

    關注

    0

    文章

    80

    瀏覽量

    9698
  • 編譯
    +關注

    關注

    0

    文章

    666

    瀏覽量

    33213
  • 仿真環境
    +關注

    關注

    0

    文章

    4

    瀏覽量

    5264

原文標題:VCS/XRUN如何創建一個非UVM的簡單仿真環境?

文章出處:【微信號:處芯積律,微信公眾號:處芯積律】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    圖像處理:HDMI顯示代碼的UVM仿真

    本篇完成對HDMI顯示代碼的UVM仿真,梳理下在windows-modelsim工具下UVM仿真環境
    的頭像 發表于 11-10 15:35 ?5363次閱讀
    圖像處理:HDMI顯示代碼的<b class='flag-5'>UVM</b><b class='flag-5'>仿真</b>

    vcsxrun搭配uvm1.1/uvm1.2版本庫的使用情況

    我們在項目中有時候需要使用不同的UVM版本庫進行仿真,有時候還會在不同的仿真器之間進行切換,本文簡單總結了
    的頭像 發表于 10-27 09:41 ?4814次閱讀

    IC驗證之UVM常用宏匯總分析(四)

    OVM中過時的用法,使用純凈的UVM環境:`define UVM_NO_DEPRECATED除了上述通用的宏外,針對不同的仿真工具需要定義不同的宏:QUESTA、
    發表于 12-02 15:24

    IC驗證"簡單UVM驗證平臺"是如何搭建的(六)

    連載....小編考慮到好的連續劇不能沒有結尾,所以后期會持續更新ic驗證的UVM相關內容.......今天更新
    發表于 12-04 15:48

    數字IC驗證之“搭建可以運行的uvm測試平臺”(5)連載中...

    的transaction,以及創建用于產生事物的事物發生器sequence?! ≡?b class='flag-5'>uvm驗證平臺中穿梭各個組件之間的基本信息單元是被稱為transaction的數據對象,也就是我們
    發表于 01-26 10:05

    請問下在UVM中的UVMsequences是什么意思啊

    uvm_object基類擴展得到。UVM sequences不是在仿真的開始,而是在仿真的過程中生成并分配內存的,也沒有類似uvm_comp
    發表于 04-11 16:43

    基于linux系統實現的vivado調用VCS仿真教程

    在linux系統上實現vivado調用VCS仿真教程 作用:vivado調用VCS仿真可以加快工程的仿真和調試,提高效率。 前期準備:確認安
    的頭像 發表于 07-05 03:30 ?1.2w次閱讀
    基于linux系統實現的vivado調用<b class='flag-5'>VCS</b><b class='flag-5'>仿真</b>教程

    51單片機開發環境keil uVision4安裝,創建簡單工程

    51單片機開發環境keil uVision4安裝,創建簡單工程
    發表于 11-13 12:21 ?6次下載
    51單片機開發<b class='flag-5'>環境</b>keil uVision4安裝,<b class='flag-5'>創建</b><b class='flag-5'>一</b><b class='flag-5'>個</b><b class='flag-5'>簡單</b>工程

    使用VCS仿真Vivado IP核時遇到的問題及解決方案

    前年,發表了篇文章《VCS獨立仿真Vivado IP核的些方法總結》(鏈接在參考資料1),里面簡單講述了使用
    的頭像 發表于 08-29 14:41 ?2903次閱讀

    淺談VCS的兩種仿真flow

    幾乎所有的芯片設計、芯片驗證工程師,每天都在和VCS打交道,但是由于驗證環境的統化管理,般將不同的編譯仿真選項集成在
    的頭像 發表于 01-10 11:20 ?4258次閱讀

    如何用vcs+verdi仿真Verilog文件并查看波形呢?

    我們以簡單的加法器為例,來看下如何用vcs+verdi仿真Verilog文件并查看波形。
    的頭像 發表于 05-08 16:00 ?6674次閱讀
    如何用<b class='flag-5'>vcs</b>+verdi<b class='flag-5'>仿真</b>Verilog文件并查看波形呢?

    如何用vcs+verdi仿真Verilog文件

    我們以簡單的加法器為例,來看下如何用vcs+verdi仿真Verilog文件并查看波形。 源文件內容如下:
    的頭像 發表于 05-11 17:03 ?2056次閱讀
    如何用<b class='flag-5'>vcs</b>+verdi<b class='flag-5'>仿真</b>Verilog文件

    vcs工作環境

    vcs工作環境
    發表于 05-15 09:38 ?0次下載

    Verdi環境配置、生成波形的方法

    Verdi是功能強大的debug工具,可以配合不同的仿真軟件進行debug,很多企業常用的就是VCS+Verdi或或者Xcelium(xrun
    的頭像 發表于 05-29 09:48 ?4742次閱讀
    Verdi<b class='flag-5'>環境</b>配置、生成波形的方法

    VCS獨立仿真Vivado IP核的些方法總結

    最近,需要使用VCS仿真高速并串轉換的Demo,其中需要用到Vivado的SelectIO IP核以及IDELAYCTRL,IDELAY2原語。而此前我只使用
    的頭像 發表于 06-06 11:09 ?3076次閱讀
    <b class='flag-5'>VCS</b>獨立<b class='flag-5'>仿真</b>Vivado IP核的<b class='flag-5'>一</b>些方法總結
    主站蜘蛛池模板: 欧美 日韩 亚洲 在线 | 吉吉影音先锋av资源网 | 久久视频这里只精品99热在线 | 久久精品视频15人人爱在线直播 | 2021国产精品一卡2卡三卡4卡 | 男女一边摸一边做羞羞的事情免费 | 97在线免费观看视频 | 99E久热只有精品8在线直播 | 乱精品一区字幕二区 | jizz国产丝袜18老师美女 | 国产福利高清在线视频 | 99久久免费国产精精品 | 亚洲一区在线观看无码欧美 | 国产精品自在在线午夜精品 | 国产在线成人一区二区三区 | 国产高清在线a视频大全 | 亚洲成年人在线观看 | 99热久久这里只有精品视频 | 亚洲欧美日韩精品自拍 | 久久国产免费 | 欧美506070 | 少妇被躁爽到高潮无码久久 | 极品美女久久久久久久久久久 | 精品欧美一区二区三区久久久 | 国产99视频在线观看 | 成人免费小视频 | 麻豆精品人妻一区二区三区蜜桃 | 亚洲国产AV精品一区二区蜜芽 | 捆绑白丝粉色JK震动捧喷白浆 | 快播电影官方网站 | 国产一区二区青青精品久久 | 久久精品亚洲热综合一本 | 亚洲在线v观看免费国 | 国产精品亚洲专区在线播放 | 日韩高清一区二区三区不卡 | 欧美激情视频在线观看一区二区三区 | 久久免费看少妇级毛片蜜臀 | 在线成人精品国产区免费 | 91久久偷偷做嫩草影院免费看 | 窝窝色资源站 | 久久国产成人午夜AV影院无码 |