在本系列的第一部分中,介紹了SystemVerilog接口的基本概念,并描述了這些接口的參數化給測試平臺代碼帶來的問題。在第二部分中,描述了使用訪問器類來保護VIP代碼免受參數化影響的方法,但此解決方案對該接口的VIP訪問施加了新的限制。在本系列的最后一篇文章中,介紹了一個過程,該流程允許測試平臺使用參數化接口,而不會對VIP訪問其提供的接口的方式施加任何限制。
最大占用空間:兩全其美
參數化接口引入動態測試平臺代碼的問題無法使用當今現有的SystemVerilog功能來解決。因此,我們必須設計一種方法來避免將這些參數暴露給VIP代碼。本系列的上一篇文章介紹了訪問器類來實現這一點。另一種解決方案是定義 VIP 可以與之交互的最大占用空間樣式接口,以及包裝此最大占用空間接口并從最大占用空間接口連接到所需信號的參數化接口。
最大占位面積樣式接口定義了每個信號的最大寬度,并且可以將各個VIP組件配置為利用來自這些信號的位片。這允許具有不同寬度的多個 VIP 實例,并且不需要參數化類來使用參數化接口。以下代碼段演示了這些概念。
首先,我們定義最大占用空間樣式接口。請注意,此接口未參數化,因為這是 VIP 代碼將與之交互的接口:
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
|
// Redefinable max footprint `ifndef MAX_DATA_WIDTH
`define MAX_DATA_WIDTH 32 `endif interface max_footprint_if;
logic clk;
logic[`MAX_DATA_WIDTH-1:0] data_in;
logic[`MAX_DATA_WIDTH-1:0] data_out;
default input #1 output #1;
input data_in;
output data_out;
endclocking
modport active_mp (clocking active_cb); typedef virtual max_footprint_if max_footprint_vif; |
接下來,定義參數化接口,用于包裝最大占用空間接口:
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
|
interface param_if#(int width = 8);
logic clk;
logic[width-1:0] data_in;
logic[width-1:0] data_out;
max_footprint_if internal_if();
assign internal_if.clk = clk;
// Z values driven on unused inputs of the max footprint
assign internal_if.data_in = {`MAX_DATA_WIDTH'hz, data_in};
// Only selected output values used from the max footprint
assign data_out = internal_if.data_out[width-1:0]; endinterface |
在此之后,實現VIP代碼以使用最大占用空間接口而不是參數化接口。下面顯示的一個附加類在前面的帖子中沒有顯示,它是配置類,用于定義每個 VIP 實例的信號寬度。該解決方案造成的另一個復雜問題是,VIP在采樣和驅動信號時必須使用位切片技術。這很不幸,但SystemVerilog完全有能力處理這個問題。
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
|
//=======================================================================
class cust_cfg extends uvm_object;
rand int data_width;
constraint valid_data_width {
data_width inside {8, 16, 32};
} …
//=======================================================================
class cust_driver extends uvm_driver#(cust_data);
max_footprint_vif vif;
cust_cfg cfg;
`uvm_component_utils(cust_driver)
function void build_phase(uvm_phase phase);
if (!uvm_config_db#(max_footprint_vif)::get(this, "", "vif", vif))
`uvm_fatal("build", "A valid virtual interface was not received.");
if (!uvm_config_db#(cust_cfg)::get(this, "", "cfg", cfg))
`uvm_fatal("build", "A valid configuration was not received."); …
task consume_from_seq_item_port();
seq_item_port.get_next_item(req);
vif.active_cb.prop_out <= ((req.prop <> (`MAX_DATA_WIDTH-cfg.data_width));
@(vif.active_cb); …
task sample_signals();
bit[31:0] sampled_prop_in = ((vif.active_cb.prop_in <> (`MAX_DATA_WIDTH-cfg.data_width)); VM_LOW);
@(vif.active_cb); …
//=======================================================================
class cust_agent extends uvm_agent;
`uvm_component_utils(cust_agent)
max_footprint_vif vif;
cust_driver driver;
function void build_phase(uvm_phase phase);
if (!uvm_config_db#(max_footprint_vif)::get(this, "", "vif", vif))
`uvm_fatal("build", "A valid virtual interface was not received.");
if (!uvm_config_db#(cust_cfg)::get(this, "", "cfg", cfg))
`uvm_fatal("build", "A valid configuration was not received.");
uvm_config_db#(max_footprint_vif)::set(this, "driver", "vif", vif);
uvm_config_db#(cust_cfg)::set(this, "driver", "cfg", cfg);
driver = cust_driver::type_id::create("driver", this); … |
最后,給出了測試平臺代碼。測試用例無需參數化即可訪問 VIP,并且實例化接口的頂級模塊可以使用參數化接口。還顯示了為每個 VIP 實例創建配置對象的附加步驟(這不是額外的步驟,因為早期的解決方案也需要這樣做,但為了簡潔起見,省略了)。
利用最大占用空間樣式接口進行VIP信號訪問,無需參數化VIP代碼即可創建VIP代碼。定義參數化接口允許測試平臺利用它們所支持的改進集成功能。使用參數化接口包裝最大占用空間接口的策略可實現這兩種樣式的優勢。
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
|
//======================================================================= class cust_test extends uvm_test;
cust_cfg cfg8;
cust_cfg cfg16;
cust_cfg cfg32;
cust_agent agent8;
cust_agent agent16;
cust_agent agent32;
virtual function void build_phase(uvm_phase phase);
cfg8 = new("cfg8");
cfg8.data_width = 8;
uvm_config_db#(cust_cfg)::set(this, "agent8", "cfg", cfg8);
agent8 = cust_agent::type_id::create("agent8", this);
cfg16 = new("cfg16");
cfg16.data_width = 16;
uvm_config_db#(cust_cfg)::set(this, "agent16", "cfg", cfg16);
agent16 = cust_agent::type_id::create("agent16", this);
cfg32 = new("cfg32");
cfg32.data_width = 32;
uvm_config_db#(cust_cfg)::set(this, "agent32", "cfg", cfg32);
agent32 = cust_agent::type_id::create("agent32", this);
endfunction endclass //======================================================================= module test_top;
param_if#(8) if8();
param_if#(16) if16();
param_if#(32) if32();
initial begin
uvm_config_db#(max_footprint_vif)::set(uvm_root::get(), "uvm_test_top.agent8", "vif", if8.internal_if);
uvm_config_db#(max_footprint_vif)::set(uvm_root::get(), "uvm_test_top.agent16", "vif", if16.internal_if);
uvm_config_db#(max_footprint_vif)::set(uvm_root::get(), "uvm_test_top.agent32", "vif", if32.internal_if);
run_test("cust_test");
end endmodule |
利用最大占用空間樣式接口進行VIP信號訪問,無需參數化VIP代碼即可創建VIP代碼。定義參數化接口允許測試平臺利用它們所支持的改進集成功能。使用參數化接口包裝最大占用空間接口的策略可實現這兩種樣式的優勢。
審核編輯:郭婷
-
接口
+關注
關注
33文章
8580瀏覽量
151046 -
Verilog
+關注
關注
28文章
1351瀏覽量
110078 -
代碼
+關注
關注
30文章
4780瀏覽量
68539
發布評論請先 登錄
相關推薦
評論