已知一個加法器IP,其功能是計算兩個數(shù)的和,但這個和延遲兩個周期才會輸出。現(xiàn)在有一串連續(xù)的數(shù)據(jù)輸入,每個周期都不間斷,試問最少需要例化幾個上述的加法器IP,才可以實現(xiàn)累加的功能。
設計分析
實現(xiàn)累加器的加法器例化的個數(shù)。按照原文大佬的設計方法,因為數(shù)據(jù)連續(xù)且加法器的延遲周期是2,使用使用一個實現(xiàn)累加,會有一半的數(shù)據(jù)丟失。那這樣設計他就將奇數(shù)偶數(shù)的數(shù)據(jù)進行了分開做一級累加,然后第二級將奇數(shù)偶數(shù)的累加結果再累加。這樣做共需消耗3個加法器。
這樣設計當然沒問題,但是這樣設計是否是最少呢?我先拋出我的思考,我認為在允許少量邏輯設計的情況下,最少需要例化兩個上述的加法器IP可以實現(xiàn)累加。
如果比較極限的情況下,一個都可以,先把一串數(shù)據(jù)使用寄存器緩存,然后一個一個取出來慢慢算即可,但這樣是不太可取的,首先,數(shù)據(jù)是連續(xù)的并沒有給出數(shù)據(jù)的極限長度,也就是說不論用任何涉及存儲結構進行緩存,都沒法確保該次數(shù)據(jù)能完全被存儲。如果題目改成一串連續(xù)數(shù)據(jù)輸入,長度最大為10,那我認為用寄存器緩存這樣的設計是合理的。
設計架構
回到設計思路:用兩個加法器的結構如圖示。
設計實現(xiàn)
加法器設計
假設兩個時鐘周期延時加法器代碼如下,通過例化加法器進行構建累加器。
//加法器IP
module adder
#(parameter DATA_WIDTH = 8)(
input clk,
input rst_n,
input [DATA_WIDTH-1:0] a_in,
input [DATA_WIDTH-1:0] b_in,
output reg [DATA_WIDTH-1:0] out
);
reg [DATA_WIDTH-1:0] sum;
always @(posedge clk or negedge rst_n)begin
if(rst_n == 'd0)begin
sum <= 'd0;
out <= 'd0;
end
else begin
sum <= a_in + b_in;
out <= sum;
end
end
endmodule
累加器設計
//累加器實現(xiàn)
module adder_for_acc
#(parameter DATA_WIDTH = 8)
(
input clk,
input rst_n,
input [DATA_WIDTH-1:0] din,
input din_valid,
output reg dout_valid,
output reg [DATA_WIDTH-1:0] dout
);
reg [DATA_WIDTH-1:0]din_r0;
//打一拍
always @(posedge clk or negedge rst_n)begin
if(rst_n == 'd0)begin
din_r0 <= 'd0;
end
else if(din_valid==1'B1)begin
din_r0<= din;
end
else begin
din_r0<='d0;
end
end
//adder0_valid信號
reg adder0_valid;
always @(posedge clk or negedge rst_n)begin
if(rst_n == 'd0)begin
adder0_valid <= 'd0;
end
else if(din_valid==1'B1)begin
adder0_valid<=!adder0_valid;
end
else begin
adder0_valid<='d0;
end
end
wire[DATA_WIDTH-1:0] a_in = (adder0_valid && din_valid)?din:0;
wire[DATA_WIDTH-1:0] b_in = (adder0_valid)?din_r0:0;
wire[DATA_WIDTH-1:0] ab_sum;
adder adder0_dut (
.clk (clk ),
.rst_n(rst_n ),
.a_in (a_in ),
.b_in (b_in ),
.out (ab_sum)
);
//第一級加法器輸出有效信號
reg [1:0]adder0_valid_dly;
wire ab_sum_valid = adder0_valid_dly[1];
always @(posedge clk ) begin
adder0_valid_dly<={adder0_valid_dly[0],adder0_valid};
end
wire [DATA_WIDTH-1:0] sum_in;
wire [DATA_WIDTH-1:0] ab_sum_in = (ab_sum_valid)?ab_sum:0;
wire [DATA_WIDTH-1:0] accsum_in = (ab_sum_valid)?sum_in:dout;
adder adder1_dut (
.clk (clk ),
.rst_n(rst_n ),
.a_in (ab_sum_in),
.b_in (accsum_in),
.out (sum_in )
);
//第二級加法器輸出有效信號
reg [3:0]din_valid_r0;
reg [1:0]adder1_valid_dly;
wire adder1_outvld = adder1_valid_dly[1];
always @(posedge clk ) begin
adder1_valid_dly<={adder1_valid_dly[0],ab_sum_valid};
end
//輸出
always @(posedge clk ) begin
din_valid_r0<={din_valid_r0[2:0],(din_valid || adder0_valid)};
end
always @(posedge clk or negedge rst_n) begin
if(rst_n == 'd0)begin
dout <= 'd0;
dout_valid <= 'd0;
end
else if(adder1_outvld == 1 && (din_valid_r0[3]==1 && din_valid_r0[2]==0))begin
dout <= sum_in ;
dout_valid <= 'd1;
end
else begin
dout <= dout ;
dout_valid <= 'd0;
end
end
endmodule