色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
电子发烧友
开通电子发烧友VIP会员 尊享10大特权
海量资料免费下载
精品直播免费看
优质内容免费畅学
课程9折专享价
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

集成邏輯分析儀(ILA)的使用方法

CHANBAEK ? 來源:杰克拉力船長 ? 作者:杰克拉力船長 ? 2023-10-01 17:08 ? 次閱讀

大家好,這里是程序員 杰克 。一名平平無奇的嵌入式軟件工程師。

在日常FPGA開發過程中,邏輯代碼設計完成后,為了驗證代碼邏輯的正確性,優先使用邏輯仿真(modesim)進行驗證。仿真驗證通過后進行板級驗證時,使用邏輯分析儀進行分析和驗證邏輯是否正確。FPGA兩大主流廠商的軟件集成邏輯分析儀供使用,AlteraQuartus自帶SignalTap、Xilinx的Vivado自帶ILA邏輯調試工具。

本篇總結和分享在Xilinx編譯工具Vivado環境下,使用內嵌的邏輯分析儀(ILA)的4種方法:

  • HDL代碼實例化ILA IP核
  • Block Design添加ILA IP核
  • Synthesis后對Nets執行Mark Debug操作
  • 在HDL代碼中使用屬性關鍵字mark_debug

本文以"LED每0.5s間隔亮滅"工程為例,觀測 led[0]信號以及led_cnt[24:0]時鐘計數信號 ,演示ILA使用的4種方法以及相關的注意事項。

01 HDL代碼實例化ILA IP核

在IP Catalog中搜索ILA,然后雙擊該IP核進行配置。

圖片

本示例中led[0]、led_cnt[24:0]信號位寬分別為1、25bit,具體配置如下所示;配置完成后,點擊“OK”;在彈出的界面點擊“Generate”完成IP核的配置和生成。

圖片

圖片

圖片

Verilog代碼中對剛生成的ILA核進行實例化,在具體代碼添加例化代碼,如下所示:

ila_0 ila_0_inst0 (
  .clk     (clk    ),     // input wire clk
  .probe0  (led    ),     // input wire [0:0]  probe0  
  .probe1  (led_cnt)      // input wire [24:0]  probe1
);

綜合、實現、生成bit后寫到FPGA芯片便可觀測到信號(此處不做演示)。

圖片

特別說明:對于純FPGA工程,杰克推薦該方式去使用ILA;

02 Block Design添加ILA IP核

在Vivado中,除了使用HDL語言去描述設計,還可以通過Block Design圖形化連接的方式進行設計。7系列的軟核MicroBlaze、帶硬核的SOC芯片(ZYNQ、MPSOC等)的設計都是通過Block和HDL相結合來描述設計。本文仍以純FPGA方式來說明在Block Design中使用ILA核(純FPGA開發不建議)。ILA使用操作如下:

創建/打開Block Design,在Diagram界面,點擊“+”按鈕,搜索ILA,然后雙擊該IP核進行配置。

圖片

參數配置的方式與上一小節一致,這里不作贅述。不同之處是在Block Design中使用ILA核,ILA核的實例化HDL代碼會自動生成到Block Design文件中。

綜合、實現、生成bit后寫到FPGA芯片便可觀測到信號(此處不做演示)。

圖片

特別說明:

帶MicroBlaze軟核/ARM硬核的FPGA的復雜設計中,模塊接口的信號觀測ILA使用該方法;模塊內部信號的觀測ILA使用上一小節的方式;兩者可結合使用。

03 綜合后添加Mark Debug

對工程執行綜合,綜合完成后,找到要觀測的信號的Nets,右鍵選擇“Mark Debug”;

圖片

圖片

要觀測信號的Nets選擇并設置完成后,點擊“Set Up Debug”;按照下面步驟配置Debug ILA參數;

圖片

圖片

圖片

圖片

Debug ILA配置完成后,保存SYNTHESIZED DESIGN后會在約束文件(.xdc)中生成ILA的配置約束,如下圖所示:

圖片

綜合、實現、生成bit后寫到FPGA芯片便可觀測到信號(此處不做演示)。

圖片

特別說明:mark_debug僅可以對Nets類型進行操作,不能對Cells操作;

04 HDL中使用MARK_DEBUG

MARK_DEBUG描述

vivado設計IDE提供了一些屬性供開發者使用,本篇推文主要是描述MARK_DEBUG屬性約束的使用和注意事項。詳細可參考UG912文檔的內容。使用MARK_DEBUG的條件如下:

約束對象必須是Nets類型

在Nets信號的頂端聲明處進行約束

vivado提供了包括Verilog、VHDL、XDC三種語法。三種語法如下所示:

//Verilog語法
約束語法:
(* MARK_DEBUG="value" *) 
#value = true/flase
使用示例:
(* MARK_DEBUG="true" *) output wire led;
(* MARK_DEBUG="true" *) reg [24:0] led_cnt;


//VHDL語法
約束語法:
聲明: attribute MARK_DEBUG : string;
語法: attribute MARK_DEBUG of signal_name : signal is "value";
//signal_name-內部信號, value = TRUE/FALSE
使用示例:
signal led : std_logic;
attribute MARK_DEBUG : string;
attribute MARK_DEBUG of led : signal is "TRUE";


//XDC語法
約束語法: 
set_property MARK_DEBUG value [get_nets < net_name >] 
#value = TRUE/FLASE
#net_name-是信號名
使用示例:
set_property MARK_DEBUG TURE [get_nets led];

使用MARK_DEBUG標記生成ILA觀測信號步驟

在led[0]、led_cnt[24:0]信號的頂端聲明處進行約束,省略代碼如下:

module led_top(
    input   wire    clk_i,
    input   wire    rst_n,
    (* MARK_DEBUG="true" *) output  wire    led_o
)
;
...
(* MARK_DEBUG="true" *) reg [24:0] led_cnt;
...
endmodule

執行綜合操作,綜合完成后,打開綜合設計,然后點擊“Set Up Debug”;

圖片

按照下面步驟配置Debug ILA參數;

圖片

圖片

圖片

圖片* Debug ILA配置完成后,保存SYNTHESIZED DESIGN后會在約束文件(.xdc)中生成ILA的配置約束,如下圖所示:

圖片

綜合、實現、生成bit后寫到FPGA芯片便可觀測到信號(此處不做演示)。

圖片

特別說明:

MARK_DEBUG約束標記會阻止Vivado對該信號以及下級信號的優化。因此,在邏輯驗證完成后,要刪除Mark_DEBUG約束標記或者將其失能。

05 文章總結

上面總結和分享了4種Vivado下使用ILA的方法。杰克更推薦使用前面兩種實例化的方法去使用ILA IP核。對于 純FPGA HDL開發 ,配置生成ILA核并在HDL代碼中實例化使用便可;對于帶軟核/硬核的FPGA開發 ,在Block模塊外部接口信號通過添加ILA核,Block模塊內部信號則在HDL代碼中實例化ILA核,兩者結合使用。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1644

    文章

    21993

    瀏覽量

    615385
  • Xilinx
    +關注

    關注

    73

    文章

    2184

    瀏覽量

    124592
  • 邏輯分析儀
    +關注

    關注

    3

    文章

    216

    瀏覽量

    23649
  • Vivado
    +關注

    關注

    19

    文章

    834

    瀏覽量

    68371
  • ILA
    ILA
    +關注

    關注

    0

    文章

    6

    瀏覽量

    3720
收藏 0人收藏

    評論

    相關推薦
    熱點推薦

    SignalTapⅡ邏輯分析儀

    SignalTapⅡ邏輯分析儀使用方法
    發表于 03-07 13:24

    頻譜分析儀的工作原理和使用方法

    頻譜分析儀的工作原理和使用方法
    發表于 07-16 22:04

    邏輯分析儀是什么

    邏輯分析軟件可快速完成大量波形數據的高難度分析和顯示,同時提供友好簡便的人機交互體驗。邏輯分析儀具有極高的采樣率,能夠精確捕獲和顯示多路數字
    發表于 08-23 16:31

    Vivado邏輯分析儀使用教程

    ,將捕獲到的數據通過下載器回傳給我們的用戶界面,以便我們進行觀察。在邏輯分析儀使用的過程中,我們一般常用的調用方法有兩種:1、IP核2、mark debug標記信號接下來我們先說一下第一種方法
    發表于 04-17 16:33

    邏輯分析儀的應用分析

    邏輯分析儀原理及相關術語簡介。邏輯分析儀的工作原理簡介邏輯分析儀的組成結構如圖1所示,它主要包括
    發表于 11-27 13:06 ?11次下載

    邏輯分析儀的使用

    邏輯分析儀的使用 本文主要介紹邏輯分析儀的使用步驟與方法,從探頭與被測系統連接、設置時鐘模式和觸發功能、捕獲、
    發表于 11-27 08:50 ?2505次閱讀

    什么是邏輯分析儀?邏輯分析儀使用方法是什么?邏輯分析儀和示波器有什么區別

    邏輯分析儀,通常具有數量眾多的采樣通道,超快的采樣速度和大容量的存儲深度,但昂貴的價格也不是個人所能承受的。作為工程師手頭常備的開發工具,目前有許多入門級的邏輯分析儀設計,整體功能雖
    發表于 05-09 09:11 ?6765次閱讀

    邏輯分析儀的作用_邏輯分析儀怎么用_邏輯分析儀使用方法(教程)

    邏輯分析儀是一種類似于示波器的波形測試設備,它可以監測硬件電路工作時的邏輯電平(高或低),并加以存儲,用圖形的方式直觀地表達出來,便于用戶檢測,分析電路設計(硬件設計和軟件設計) 中的
    發表于 12-20 16:23 ?5.3w次閱讀

    邏輯分析儀選型參數有哪些_邏輯分析儀有哪些技術指標_邏輯分析儀有什么推薦的?

    邏輯分析儀分析數字系統邏輯關系的儀器。邏輯分析儀是屬于數據域測試[2]儀器中的一種總線
    發表于 12-20 16:43 ?5868次閱讀

    邏輯分析儀的計量說明

    中國國內每年銷售邏輯分析儀大概一千萬美元,但是能夠完整計量邏輯分析儀參數的單位卻不多。一般的計量單位只能做到門限精度的計量,而不能進行邏輯
    發表于 01-14 10:28 ?3次下載

    Based邏輯分析儀的應用特點、優勢及使用方法

    工程師在測量數字訊號時使用邏輯分析儀能夠快速的找出問題所在,而孕龍邏輯分析儀更提供了多項專利技術使工程師開發產品時可提升工作效率。孕龍科技邏輯
    發表于 10-28 10:17 ?1241次閱讀

    在Vivado中使用邏輯分析儀ILA的過程

    FPGA綜合出來的電路都在芯片內部,基本上是沒法用示波器或者邏輯分析儀器去測量信號的,所以xilinx等廠家就發明了內置的邏輯分析儀
    的頭像 發表于 06-29 16:08 ?6463次閱讀
    在Vivado中使用<b class='flag-5'>邏輯</b><b class='flag-5'>分析儀</b><b class='flag-5'>ILA</b>的過程

    頻譜分析儀使用方法

    頻譜分析儀使用方法? 頻譜分析儀是一種用于測量電子信號頻譜的儀器,廣泛應用于通信、無線電、音頻等領域。它可以分析信號的頻率、幅度、相位等參數,幫助工程師進行信號
    的頭像 發表于 09-19 16:03 ?2889次閱讀

    邏輯分析儀如何使用 邏輯分析儀使用教程

    邏輯分析儀如何使用 邏輯分析儀使用教程? 邏輯分析儀是一種用來監測和
    的頭像 發表于 09-19 16:03 ?3747次閱讀

    usb邏輯分析儀怎么用

    的錯誤和故障。USB邏輯分析儀被廣泛應用于USB控制器的開發和調試、USB設備的驗證以及USB通信的分析和解決。在本文中,我們將詳細介紹USB邏輯
    的頭像 發表于 09-19 16:03 ?3225次閱讀
    主站蜘蛛池模板: 北条麻妃久久99精品 | 欧美猛男gaygayxxgv | 女人一级毛片免费观看 | 鸭子玩富婆流白浆视频 | 成人免费毛片观看 | 97在线视频免费 | 久久水蜜桃亚洲AV无码精品偷窥 | 粉色视频午夜网站入口 | 打卡中国各地奋斗第一线 | 狠很橹快播| 牛牛精品专区在线 | 小向美奈子厨房magnet | 日本高清免费看 | 国产成人刺激视频在线观看 | 黄色精品视频 | 亚洲伊人久久一次 | 高h gl肉文| 久久精品免视看国产 | 奇米精品一区二区三区在线观看 | 国产午夜精品美女免费大片 | 性xxx免费 | 人妻互换免费中文字幕 | 18禁在线无遮挡羞羞漫画 | 亚洲国产精品日本无码网站 | 青青久久精品 | 伊人色综合久久天天 | 男人有噶坏 | 亚洲欧美另类无码专区 | 快播电影频道 | 欧美丰满少妇久久无码精品 | 欧美成人免费观看久久 | 99精品热视频30在线热视频 | 欧美极品尿交 | 视频一区国产在线二区 | 国产精品久久精品 | 国产精自产拍久久久久久蜜 | 日韩一区二区三区四区区区 | 欧美狂野乱码一二三四区 | 19不插片免费视频 | 好硬好湿好大再深一点动态图 | 性做久久久久久久久浪潮 |

    電子發燒友

    中國電子工程師最喜歡的網站

    • 2931785位工程師會員交流學習
    • 獲取您個性化的科技前沿技術信息
    • 參加活動獲取豐厚的禮品