色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vivado2018版本中Modelsim的配置

CHANBAEK ? 來源:新海客 ? 作者:陳一偉 ? 2023-11-08 14:47 ? 次閱讀

Vivado自帶的仿真工具在一些基本功能的仿真測(cè)試時(shí)是可以滿足的,但如果你的工程較為龐大,那么自帶的仿真工具將有些勉強(qiáng),除了在數(shù)據(jù)輸出方面的卡頓,在仿真速度上也可能無法接受,這里可以借助第三方仿真工具進(jìn)行工程仿真測(cè)試,Vivado2018各版本支持的仿真工具見下:

Vivado Design Suite 2018.3

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa 高級(jí)仿真器 (10.6c)
  • Cadence Incisive 企業(yè)仿真器 (IES) (15.20.053)
  • Cadence Xcelium 并行模擬器(18.03.005)
  • Synopsys VCS 與 VCS MX (N-2017.12-SP2)
  • Aldec Active-HDL (10.5) Aldec Riviera-PRO (2018.02)

Vivado Design Suite 2018.2

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa 高級(jí)仿真器 (10.6c)
  • Cadence Incisive 企業(yè)仿真器 (IES) (15.20.042)
  • Cadence Xcelium 并行模擬器(17.10.005)
  • Synopsys VCS 與 VCS MX (N-2017.12)
  • Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.10)

Vivado Design Suite 2018.1

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa 高級(jí)仿真器 (10.6c)
  • Cadence Incisive 企業(yè)仿真器 (IES) (15.20.042)
  • Cadence Xcelium 并行模擬器(17.10.005)
  • Synopsys VCS 與 VCS MX (N-2017.12)
  • Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.10)

個(gè)人習(xí)慣使用Mentor公司的ModelSim,Vivado2018只支持V10.6C以上版本,下載時(shí)需要注意,ModelSim安裝在這里不在贅述,推薦安裝SE版本,安裝完成后需要對(duì)Vivado的仿真庫(kù)編譯導(dǎo)入ModelSim,基本配置步驟如下:

1.打開工具菜單,選擇仿真庫(kù)編譯;

圖片

2.仿真庫(kù)生成配置可根據(jù)需求調(diào)整,基本配置如下;

圖片

3.編輯一般需要很長(zhǎng)一段時(shí)間,編譯完成后在Tcl Console中會(huì)有一個(gè)匯總報(bào)告,里面說明了支持的仿真模型和編譯該模塊的基本信息,只需要檢查是否有Error存在,若存在需檢查ModelSim版本或者Vivado版本重新生成;

圖片

生成后需要在工具菜單下的設(shè)置仿真工具路徑和庫(kù)文件路徑;

圖片

此外需要注意,環(huán)境變量需要添加MODELSIM變量,變量文件為生成庫(kù)文件夾里的ModelSim.ini文件

圖片

4.第三方仿真工具的調(diào)用需要在設(shè)置里進(jìn)行配置,打開工程文件,點(diǎn)擊工具菜單,選擇設(shè)置;

圖片

選擇仿真面板,里面需要配置仿真工具,Testbench和仿真庫(kù)文件,必要時(shí)需要simulation選項(xiàng)卡里面配置仿真時(shí)間;

圖片

仿真調(diào)用按正常仿真流程執(zhí)行即可。

圖片

圖片

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Cadence
    +關(guān)注

    關(guān)注

    65

    文章

    921

    瀏覽量

    142075
  • ModelSim
    +關(guān)注

    關(guān)注

    5

    文章

    174

    瀏覽量

    47162
  • 模擬器
    +關(guān)注

    關(guān)注

    2

    文章

    874

    瀏覽量

    43208
  • 仿真分
    +關(guān)注

    關(guān)注

    0

    文章

    3

    瀏覽量

    5253
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    812

    瀏覽量

    66470
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    如何用Python實(shí)現(xiàn)VivadoModelSim仿真自動(dòng)化?

    我們?cè)赪indows系統(tǒng)下使用Vivado的默認(rèn)設(shè)置調(diào)用第三方仿真器比如ModelSim進(jìn)行仿真時(shí),一開始仿真軟件都會(huì)默認(rèn)在波形界面中加載testbench頂層的信號(hào)波形
    的頭像 發(fā)表于 09-13 09:23 ?1274次閱讀
    如何用Python實(shí)現(xiàn)<b class='flag-5'>Vivado</b>和<b class='flag-5'>ModelSim</b>仿真自動(dòng)化?

    Vivado 2023.2版本的新增功能

    Vivado在前一段時(shí)間更新了2023.2版本,經(jīng)過一段時(shí)間的使用這個(gè)版本還是很絲滑的,用起來挺舒服。
    的頭像 發(fā)表于 01-02 09:39 ?3212次閱讀
    <b class='flag-5'>Vivado</b> 2023.2<b class='flag-5'>版本</b>的新增功能

    關(guān)于Vivadomodelsim仿真常見問題處理

    本帖最后由 六月飛魚 于 2018-10-16 19:58 編輯 1、vivado里面聯(lián)合modelsim操作方法分如下三步:a、第一步在modelsim的安裝目錄下新建文件夾并
    發(fā)表于 10-16 19:43

    2018版本labview對(duì)應(yīng)的C Generator

    請(qǐng)問有2018版本的c generator嗎,我沒有找到,或者有沒有其他方法可以將2018版本的VI轉(zhuǎn)成c語言呢?
    發(fā)表于 11-29 09:17

    怎么將vivado12.3更新到最新的15.4版本

    你好我有完整版的vivado 2012.3版本,我使用的是DVDalong和ZC 706 Board。我想將軟件更新到最新版本。請(qǐng)讓我知道這是可能的,免費(fèi)的。
    發(fā)表于 04-14 06:48

    vivado的仿真器改成modelsim,仿真時(shí)modelsim的transcript沒法打印出e203實(shí)時(shí)運(yùn)行的信息怎么解決?

    原來仿真使用的是vivado simulator,最近將vivado的仿真器改成modelsim,發(fā)現(xiàn)仿真的時(shí)候modelsim的transcript沒法打印出e203實(shí)時(shí)運(yùn)行的信息。
    發(fā)表于 08-11 09:47

    ModelSim SE 入門

    本文以ModelSim SE 5.6版本為基礎(chǔ),介紹ModelSim SE的最基本用法,高深的我也不會(huì) 。當(dāng)你安裝完ModelSim SE之后,可以將你的
    發(fā)表于 07-06 15:37 ?69次下載

    基于vivado平臺(tái)和modelsim的仿真和應(yīng)用測(cè)試

    很多人用zynq平臺(tái)做視頻圖像開發(fā),但是對(duì)vdma了解比較少,上手起來稍微有些困難,我針對(duì)這一現(xiàn)象,做了一個(gè)基于vivadomodelsim的仿真和應(yīng)用測(cè)試工程,并寫篇文章做些介紹,希望能對(duì)大家有幫助。
    發(fā)表于 06-30 14:33 ?5684次閱讀
    基于<b class='flag-5'>vivado</b>平臺(tái)和<b class='flag-5'>modelsim</b>的仿真和應(yīng)用測(cè)試

    使用Vivado 2017調(diào)用Modelsim的詳細(xì)步驟

    本次使用的Vivado版本Vivado_2017.3版本,從Xilinx官方文檔可以了解到,該版本V
    發(fā)表于 03-30 09:51 ?1.7w次閱讀
    使用<b class='flag-5'>Vivado</b> 2017調(diào)用<b class='flag-5'>Modelsim</b>的詳細(xì)步驟

    詳解VivadoModelsim關(guān)聯(lián)方法及器件庫(kù)編譯

    vivado設(shè)置modelsim(即第三方仿真工具)的安裝路徑。在vivado菜單中選擇“Tools”——》“Options.。。”,選擇“General”選項(xiàng)卡,將滾動(dòng)條拉倒最底
    的頭像 發(fā)表于 04-15 10:10 ?5395次閱讀
    詳解<b class='flag-5'>Vivado</b>與<b class='flag-5'>Modelsim</b>關(guān)聯(lián)方法及器件庫(kù)編譯

    Vivado調(diào)用Questa Sim或ModelSim仿真小技巧

    調(diào)用第三方仿真軟件查看波形的過程存在的一些問題。 1、添加新的觀測(cè)信號(hào)需要重新仿真 Vivado直接調(diào)用Modelsim/QuestaSim進(jìn)行仿真時(shí),波形文件里默認(rèn)只會(huì)出現(xiàn)仿真最頂層
    的頭像 發(fā)表于 09-02 10:12 ?8475次閱讀
    <b class='flag-5'>Vivado</b>調(diào)用Questa Sim或<b class='flag-5'>ModelSim</b>仿真小技巧

    VivadoModelSim的聯(lián)合仿真操作

    Vivado自帶的仿真,個(gè)人覺得跑一些小模塊的仿真還是可以的,不過跑大的仿真系統(tǒng),容易無體驗(yàn)感,建議用第三方工具,這邊就直接對(duì)ModelSim下手了,接下來介紹下這兩者聯(lián)合仿真的操作。
    的頭像 發(fā)表于 03-11 11:32 ?7983次閱讀

    Vivado調(diào)用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado也可以調(diào)用Modelsim進(jìn)行仿真,下面將介紹如何對(duì)vivado進(jìn)行
    的頭像 發(fā)表于 07-24 09:04 ?3732次閱讀
    <b class='flag-5'>Vivado</b>調(diào)用<b class='flag-5'>Modelsim</b>仿真

    vivado軟件和modelsim軟件的安裝方法

    本文詳細(xì)介紹了vivado軟件和modelsim軟件的安裝,以及vivado配置modelsim
    的頭像 發(fā)表于 08-07 15:48 ?5123次閱讀
    <b class='flag-5'>vivado</b>軟件和<b class='flag-5'>modelsim</b>軟件的安裝方法

    Vivado 2024.1版本的新特性(1)

    Vivado 2024.1已正式發(fā)布,今天我們就來看看新版本帶來了哪些新特性。
    的頭像 發(fā)表于 09-18 10:30 ?1293次閱讀
    <b class='flag-5'>Vivado</b> 2024.1<b class='flag-5'>版本</b>的新特性(1)
    主站蜘蛛池模板: 久久国产视频网| 9位美女厕所撒尿11分| 女教师の诱惑| 凹凸精品视频分类视频| 无码区国产区在线播放| 九九久久久| JAVASCRIPTJAVA水多多| 污文乖不疼的| 久久综合网久久综合| 成人国产精品免费网站| 亚洲乱码中文字幕久久| 蜜柚视频网在线观看免费| 国产Av影片麻豆精品传媒| 亚洲卫视论坛| 欧美gv明星| 国产婷婷色一区二区三区在线| 在线观看a视频| 日本动漫henta videos| 国产精品乱码一区二区三| 真实农村女人野外自拍照片| 日韩中文亚洲欧美视频二| 精品久久久久久久国产潘金莲| aa级毛片毛片免费观看久| 亚州视频一区| 免费无码一区二区三区蜜桃大| 国产精品久久大陆| 91素人约啪| 香蕉久久夜色精品国产小说| 久热人人综合人人九九精品视频 | 好看的电影网站亚洲一区| 在线观看免费国产成人软件| 日本黄色成年人免费观看| 教室里的激情电影| 成3d漫二区三区四区| 一级毛片西西人体44rt高清| 日韩精品真人荷官无码| 久久精品视频3| 国产成人亚洲综合无| 91精品免费久久久久久久久| 香蕉久久av一区二区三区| 欧美激情视频一区|