色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

深度解析基于FPGA控制的舵機(jī)程序

2oON_changxuemc ? 來(lái)源:互聯(lián)網(wǎng) ? 作者:佚名 ? 2018-01-25 08:54 ? 次閱讀

舵機(jī)

一個(gè)常見(jiàn)的舵機(jī)有三個(gè)連接線(xiàn)。

黑色:電源

紅色:電源(5伏直流)

白色:控制引腳(PWM)

PWM控制舵機(jī)位置。伺服系統(tǒng)每20毫秒要有一個(gè)脈沖,以便獲得正確的角度信息。脈沖寬度決定了舵機(jī)的角度運(yùn)動(dòng)范圍。也就是說(shuō),我們可以通過(guò)發(fā)送1毫秒脈沖設(shè)置舵機(jī)到一端位置,發(fā)送2毫秒脈沖將其設(shè)置到另一個(gè)位置。

深度解析基于FPGA控制的舵機(jī)程序

控制波形

我們?cè)O(shè)計(jì)一個(gè)四個(gè)輸入,一個(gè)輸出的模塊,如下圖所示

控制模塊

Clk:時(shí)鐘信號(hào)

reset:復(fù)位信號(hào)

button_l:用于PWM占空比的增加

button_r:用于PWM占空比的減小

Pwm:輸出信號(hào)驅(qū)動(dòng)舵機(jī)。

首先,應(yīng)該生成具有20毫秒周期的PWM信號(hào),由于使用50MHz的時(shí)鐘產(chǎn)生PWM,為了產(chǎn)生20ms信號(hào),我們將定義一個(gè)名為counter的變量和一個(gè)名為upper的常數(shù),它表示計(jì)數(shù)上邊界。

Upper boundary : 20ms/20ns = 1000 000

constant upper :integer:=1000000;

………………………..

process(clk,reset)

begin

if reset = '1' then

pwm_reg

counter

duty_cycle

elsif clk='1' and clk'event then

pwm_reg

counter

duty_cycle

end if;

end process;

counter_next

控制信號(hào)占空比的值應(yīng)是1毫秒至2毫秒之間。我們將定義兩個(gè)常數(shù)命名dcycle_max和dcycle_min說(shuō)明上下邊界。

dcycle_max:顯示脈沖寬度的最大值。

(2ms/clock period=2 ms/ 20 ns=100000)

dcycle_min:顯示脈沖寬度的最小值。

(1ms/clock period=1 ms/ 20 ns=50000)

脈寬(占空比)在每個(gè)PWM周期中改變,因此我們定義了一個(gè)稱(chēng)為tick的變量。當(dāng)tick為“1”時(shí),占空比將發(fā)生變化。

signal tick : std_logic;

tick

定義了一個(gè)稱(chēng)為duty_in的常數(shù),用于改變占空比。

VHDL代碼

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

entity servo_pwm is

Port ( clk : in STD_LOGIC;

reset : in STD_LOGIC;

button_l : in STD_LOGIC;

button_r : in STD_LOGIC;

pwm : out STD_LOGIC);

end servo_pwm;

architecture Behavioral of servo_pwm is

constant period:integer:=1000000;

constant dcycle_max:integer:=100000;

constant dcycle_min:integer:=50000;

constant duty_in:integer:=200;

signal pwm_reg,pwm_next:std_logic;

signal duty_cycle,duty_cycle_next:integer:=0;

signal counter,counter_next:integer:=0;

signal tick:std_logic;

begin

process(clk,reset)

begin

if reset = '1' then

pwm_reg

counter

duty_cycle

elsif clk='1' and clk'event then

pwm_reg

counter

duty_cycle

end if;

end process;

counter_next

tick

process(button_l,button_r,tick,duty_cycle)

begin

duty_cycle_next

if tick='1' then

if button_l ='1' and duty_cycle >dcycle_min then

duty_cycle_next

elsif button_r ='1' and duty_cycle

duty_cycle_next

end if;

end if;

end process;

pwm

pwm_next

end Behavioral;

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1629

    文章

    21729

    瀏覽量

    603010
  • 控制模塊
    +關(guān)注

    關(guān)注

    2

    文章

    130

    瀏覽量

    18852
  • 舵機(jī)
    +關(guān)注

    關(guān)注

    17

    文章

    268

    瀏覽量

    41014

原文標(biāo)題:FPGA控制舵機(jī)程序

文章出處:【微信號(hào):changxuemcu,微信公眾號(hào):暢學(xué)單片機(jī)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    舵機(jī)技術(shù)新突破,無(wú)線(xiàn)控制更自由!

    。本文將帶您深入探索新一代無(wú)線(xiàn)舵機(jī)的技術(shù)奧秘,揭秘其如何實(shí)現(xiàn)更自由、更精準(zhǔn)的控制。 無(wú)線(xiàn)技術(shù)的深度融合:簡(jiǎn)化與自由的雙重奏 傳統(tǒng)舵機(jī)依賴(lài)于有線(xiàn)連接,這不僅限制了設(shè)備的布局靈活性,也增加
    的頭像 發(fā)表于 11-22 15:01 ?190次閱讀

    舵機(jī)技術(shù)深度解析,讓機(jī)器人更智能!

    的——舵機(jī)舵機(jī),這個(gè)看似不起眼的裝置,實(shí)則蘊(yùn)含著豐富的技術(shù)內(nèi)涵。它不僅是機(jī)器人和無(wú)人機(jī)等智能設(shè)備的“關(guān)節(jié)”,更是實(shí)現(xiàn)精準(zhǔn)操控的核心部件。那么,舵機(jī)究竟是如何工作的?它的技術(shù)原理又是什么呢? 首先,我們需要了
    的頭像 發(fā)表于 11-06 14:19 ?363次閱讀

    FPGA加速深度學(xué)習(xí)模型的案例

    FPGA(現(xiàn)場(chǎng)可編程門(mén)陣列)加速深度學(xué)習(xí)模型是當(dāng)前硬件加速領(lǐng)域的一個(gè)熱門(mén)研究方向。以下是一些FPGA加速深度學(xué)習(xí)模型的案例: 一、基于FPGA
    的頭像 發(fā)表于 10-25 09:22 ?215次閱讀

    FPGA深度學(xué)習(xí)能走多遠(yuǎn)?

    ,是否可以? A:可以,ARM 可以作為主機(jī)通過(guò) SPI 接口與 FPGA 通信。ARM 可以控制 SPI 總線(xiàn)的時(shí)鐘、片選信號(hào)以及數(shù)據(jù)的發(fā)送和接收。在加載程序時(shí),ARM 可以將 FPGA
    發(fā)表于 09-27 20:53

    舵機(jī)在無(wú)人機(jī)中的應(yīng)用

    ?一、舵機(jī)工作原理舵機(jī)是一種位置(角度)伺服的驅(qū)動(dòng)器,由電子控制與機(jī)械控制部分組成。當(dāng)控制信號(hào)輸入時(shí),電子
    的頭像 發(fā)表于 09-25 15:58 ?601次閱讀
    <b class='flag-5'>舵機(jī)</b>在無(wú)人機(jī)中的應(yīng)用

    探索智能動(dòng)力之源:揭秘舵機(jī)的無(wú)限可能

    在科技的浩瀚星空中,舵機(jī)以其精準(zhǔn)的控制能力和廣泛的應(yīng)用領(lǐng)域,成為了連接現(xiàn)實(shí)與智能夢(mèng)想的橋梁。今天,就讓我們一起走進(jìn)舵機(jī)的世界,通過(guò)生動(dòng)的演示、深入的控制信號(hào)
    的頭像 發(fā)表于 08-22 09:51 ?335次閱讀

    ESP32控制舵機(jī)的正反轉(zhuǎn)原理是什么

    舵機(jī)是一種將電信號(hào)轉(zhuǎn)換為機(jī)械運(yùn)動(dòng)的設(shè)備,廣泛應(yīng)用于機(jī)器人、無(wú)人機(jī)、汽車(chē)等領(lǐng)域。ESP32是一款功能強(qiáng)大的微控制器,具有豐富的外設(shè)接口和高性能的處理能力,可以方便地控制舵機(jī)實(shí)現(xiàn)正反轉(zhuǎn)等動(dòng)
    的頭像 發(fā)表于 08-20 09:13 ?1064次閱讀

    舵機(jī)是什么?舵機(jī)內(nèi)部結(jié)構(gòu)解析

    舵機(jī)是一種由外殼、舵盤(pán)、直流電機(jī)、減速齒輪組、角度傳感器、控制驅(qū)動(dòng)電路和接口線(xiàn)纜等部件組成的位置(角度)伺服驅(qū)動(dòng)器。這些部件共同協(xié)作,使舵機(jī)能夠準(zhǔn)確、穩(wěn)定地實(shí)現(xiàn)角度變化,并廣泛應(yīng)用于無(wú)人機(jī)、機(jī)器人
    的頭像 發(fā)表于 06-14 14:56 ?5243次閱讀
    <b class='flag-5'>舵機(jī)</b>是什么?<b class='flag-5'>舵機(jī)</b>內(nèi)部結(jié)構(gòu)<b class='flag-5'>解析</b>

    舵機(jī)是否屬于伺服電機(jī)

      在電機(jī)技術(shù)的廣泛領(lǐng)域中,舵機(jī)和伺服電機(jī)是兩個(gè)常被提及的術(shù)語(yǔ)。它們各自在自動(dòng)化控制、機(jī)器人技術(shù)、航空航天等多個(gè)領(lǐng)域扮演著重要的角色。然而,對(duì)于這兩者之間的關(guān)系,特別是舵機(jī)是否屬于伺服電機(jī)這一
    的頭像 發(fā)表于 06-07 10:40 ?1363次閱讀

    機(jī)器人舵機(jī):關(guān)鍵要素解析與選擇指南

    在機(jī)器人技術(shù)日新月異的今天,舵機(jī)作為機(jī)器人的核心部件之一,扮演著至關(guān)重要的角色。它的性能直接關(guān)系到機(jī)器人的運(yùn)動(dòng)控制、穩(wěn)定性以及精度等方面。那么,在選擇和使用機(jī)器人舵機(jī)時(shí),我們需要關(guān)注哪些關(guān)鍵要素呢
    的頭像 發(fā)表于 06-06 13:57 ?1009次閱讀
    機(jī)器人<b class='flag-5'>舵機(jī)</b>:關(guān)鍵要素<b class='flag-5'>解析</b>與選擇指南

    舵機(jī)控制程序案例

    舵機(jī)控制板是串口通訊的(TTL 電平),可以使用任何串口設(shè)備給它發(fā)指令,從而控制舵機(jī)
    發(fā)表于 04-24 18:36 ?5次下載

    舵機(jī)控制例程、原理介紹

    電子發(fā)燒友網(wǎng)站提供《舵機(jī)控制例程、原理介紹.zip》資料免費(fèi)下載
    發(fā)表于 02-23 14:02 ?1次下載

    舵機(jī)三根線(xiàn)分別是什么?一文解析舵機(jī)各線(xiàn)纜對(duì)應(yīng)關(guān)系

    一根線(xiàn),但是它的頻率和占空比是可以通過(guò)微處理器或其他控制芯片進(jìn)行調(diào)節(jié)的。不同的PWM信號(hào)可以實(shí)現(xiàn)對(duì)不同的模擬信號(hào)進(jìn)行數(shù)字編碼,從而實(shí)現(xiàn)不同的控制功能。 除了常規(guī)的三線(xiàn)舵機(jī)之外,還有一種五線(xiàn)舵機(jī)
    發(fā)表于 12-30 10:06

    如何使用單片機(jī)控制舵機(jī)的轉(zhuǎn)動(dòng)

    單片機(jī)是一種集成電路,它可以被編程以控制外部電子設(shè)備的運(yùn)行。舵機(jī)是一種能夠轉(zhuǎn)動(dòng)到特定位置的電動(dòng)機(jī),常用于模型、機(jī)器人以及其他需要精確控制位置的應(yīng)用中。本文將詳細(xì)介紹如何使用單片機(jī)控制
    的頭像 發(fā)表于 12-29 10:15 ?1716次閱讀

    舵機(jī)三根線(xiàn)分別是什么?一文解析舵機(jī)各線(xiàn)纜對(duì)應(yīng)關(guān)系

    最近,經(jīng)常有同學(xué)問(wèn)我關(guān)于舵機(jī)線(xiàn)路的問(wèn)題,包括舵機(jī)三根線(xiàn)分別是什么線(xiàn)、每根線(xiàn)的顏色是什么、舵機(jī)接線(xiàn)應(yīng)該怎么接,以及四線(xiàn)舵機(jī)都有什么線(xiàn)等。為了更好地解答大家的疑惑,我特地撰寫(xiě)了這篇文章,希
    的頭像 發(fā)表于 12-27 10:56 ?3479次閱讀
    <b class='flag-5'>舵機(jī)</b>三根線(xiàn)分別是什么?一文<b class='flag-5'>解析</b><b class='flag-5'>舵機(jī)</b>各線(xiàn)纜對(duì)應(yīng)關(guān)系
    主站蜘蛛池模板: 榴莲推广APP网站入口官网| 久久涩视频| 欧美.亚洲.日韩.天堂| 亚洲精品高清中文字幕完整版| 美美哒免费影视8| 97精品国产自产在线观看永久| 吸奶舔下面| 奇米狠狠干| 狠狠色色综合站| 北岛玲手机在线观看视频观看| 亚洲伊人久久精品| 日韩亚洲人成在线| 美女网站免费看| 国产精品久久久久无码AV色戒| 91久久偷偷做嫩草影院免| 無码一区中文字幕少妇熟女网站 | 国产成人在线视频播放| 夜里18款禁用的免费B站动漫| 失禁 调教 刺激 哭喊男男| 邻家美姨在线观看全集免费| 狠狠狠色丁香婷婷综合久久| 川师 最美老师| 99精品视频在线观看re| 伊人国产在线观看| 久久秋霞理论电影| 色色色五的天| yellow视频免费观看高清在线| 美国女孩毛片| 中文字幕高清在线观看| 久草在线福利资站免费视频| 手机伊在人线香蕉2| 日韩欧美1区| 热综合一本伊人久久精品| 欧美丰满少妇久久无码精品| 男女性杂交内射妇女BBWXZ| 久久视频在线视频观品15| 久久国产亚洲精品AV麻豆| 久久囯产精品777蜜桃传媒| 亚洲色大成网站WWW永久麻豆 | 亚洲免费福利在线视频| 国产精品人妻无码99999|