全球第一大芯片自動(dòng)化設(shè)計(jì)解決方案提供商及全球第一大芯片接口IP供應(yīng)商、信息安全和軟件質(zhì)量的全球領(lǐng)導(dǎo)者新思科技(Synopsys, Inc.,納斯達(dá)克股票市場(chǎng)代碼: SNPS )近日宣布,新思科技Design Platform Fusion 技術(shù)已通過(guò)三星認(rèn)證,可應(yīng)用于其7納米(nm)低功耗+(LPP-Low Power Plus)工藝的極紫外(EUV)光刻技術(shù)。新思科技Design Platform為基于EUV單次曝光布線和連排打孔提供完備的全流程7LPP支持,以確保最大程度地實(shí)現(xiàn)設(shè)計(jì)的可布線性和利用率,同時(shí)最大限度地降低電壓降(IR-drop)。新思科技的SiliconSmart? 庫(kù)表征工具對(duì)于研發(fā)在該認(rèn)證工藝上建立參考流程所使用的基礎(chǔ)IP非常關(guān)鍵。三星已經(jīng)認(rèn)證了新思科技 Design Platform工具和參考流程,該流程與Lynx Design System兼容,配備用于自動(dòng)化和設(shè)計(jì)最佳實(shí)踐的腳本。該參考流程可通過(guò)三星Advanced Foundry Ecosystem (SAFE?) 計(jì)劃獲得。
三星電子代工市場(chǎng)營(yíng)銷(xiāo)團(tuán)隊(duì)副總裁Ryan Sanghyun Lee表示:“通過(guò)與新思科技的深入合作,我們7LPP工藝上的認(rèn)證和參考流程將為我們共同的客戶在設(shè)計(jì)上實(shí)現(xiàn)最低功耗、最佳性能和最優(yōu)面積。使用經(jīng)過(guò)驗(yàn)證并集成了Fusion技術(shù)的新思科技 Design Platform,我們的代工客戶可以放心地使用新思科技最先進(jìn)的EUV工藝量產(chǎn)他們的設(shè)計(jì)。”
新思科技設(shè)計(jì)事業(yè)部營(yíng)銷(xiāo)與商務(wù)開(kāi)發(fā)副總裁Michael Jackson表示:“我們與三星的工具和參考流程合作重點(diǎn)在于使設(shè)計(jì)人員能夠使用三星最新的EUV 7LPP工藝在最高可信度下獲得最佳結(jié)果質(zhì)量。采用集成了Fusion技術(shù)的新思科技Design Platform,可擴(kuò)展7LPP參考流程將使設(shè)計(jì)人員能夠輕松實(shí)現(xiàn)他們期望的設(shè)計(jì)和時(shí)間目標(biāo)。”
基于ARMv8架構(gòu)的64位Arm Cortex-A53處理器被用于結(jié)果質(zhì)量(QoR)優(yōu)化和流程認(rèn)證。新思科技Design Platform 7LPP參考流程的關(guān)鍵工具和功能包括:
IC Compiler II布局和布線:基于EUV單次曝光的布線具備優(yōu)化的7LPP設(shè)計(jì)規(guī)則支持,以及連排打孔以確保最大的設(shè)計(jì)可布線性和利用率,同時(shí)最大限度地減少電壓降。
Design Compiler Graphical RTL綜合:與布局布線結(jié)果的相關(guān)性,擁塞減少,優(yōu)化的7LPP設(shè)計(jì)規(guī)則支持以及向IC Compiler II提供物理指導(dǎo) 。
IC Validator物理signoff:高性能DRC signoff,LVS感知型短路查找器、signoff填充、模式匹配和獨(dú)特的采用Explorer技術(shù)的Dirty Data分析,以及帶有DRC自動(dòng)修復(fù)的設(shè)計(jì)內(nèi)驗(yàn)證和在IC Compiler II中的準(zhǔn)確感知時(shí)序的金屬填充。
PrimeTime時(shí)序signoff:近閾值超低電壓變化建模,過(guò)孔變化建模和感知布局規(guī)則的工程變更指令(ECO)指導(dǎo)。
StarRC?寄生參數(shù)提取:EUV基于單次曝光模式的布線支持,以及新的提取技術(shù),如基于覆蓋率的過(guò)孔電阻。
RedHawk?Analysis Fusion:ANSYS? RedHawk?驅(qū)動(dòng)的在IC Compiler II中的EM/IR分析和優(yōu)化,包括過(guò)孔插入和電網(wǎng)增幅。
DFTMAX?和TetraMAX? II測(cè)試:基于FinFET、單元感知和基于時(shí)序裕量的轉(zhuǎn)換測(cè)試以獲得更高的測(cè)試質(zhì)量。
Formality?形式驗(yàn)證:基于UPF、帶狀態(tài)轉(zhuǎn)換驗(yàn)證的等價(jià)性檢查。
目前可通過(guò)SAFE?計(jì)劃獲得與新思科技Lynx Design System兼容并經(jīng)認(rèn)證的可擴(kuò)展參考流程。Lynx Design System是一個(gè)全芯片設(shè)計(jì)環(huán)境,包含創(chuàng)新的自動(dòng)化和報(bào)告功能,可幫助設(shè)計(jì)人員實(shí)施和監(jiān)控其設(shè)計(jì)。它包括一個(gè)生產(chǎn)化RTL-to-GDSII流程,可簡(jiǎn)化和自動(dòng)化許多關(guān)鍵的設(shè)計(jì)實(shí)現(xiàn)和驗(yàn)證任務(wù),使工程師能夠?qū)W⒂趯?shí)現(xiàn)性能和設(shè)計(jì)目標(biāo)。SAFE?計(jì)劃提供由三星認(rèn)證支持并經(jīng)廣泛測(cè)試的工藝設(shè)計(jì)套件(PDK)和參考流程(與設(shè)計(jì)方法)。
-
三星電子
+關(guān)注
關(guān)注
34文章
15861瀏覽量
181007 -
新思科技
+關(guān)注
關(guān)注
5文章
797瀏覽量
50336 -
光刻技術(shù)
+關(guān)注
關(guān)注
1文章
146瀏覽量
15824
發(fā)布評(píng)論請(qǐng)先 登錄
相關(guān)推薦
評(píng)論