TLC5510 VHDL控制程序
--文件名:TLC5510.vhd
--功能:基于VHDL語言,實現對高速A/D器件TLC5510控制
--最后修改日期:2004.3.20
library ieee;
use ieee.std_logic_1164.all;
entity tlc5510 is
port(clk :in std_logic;????????????????????????????? --系統時鐘
???? oe :out std_logic;??????????????????????????? --TLC5510的輸出使能/OE
???? clk1:out std_logic;?????????????????????????? --TLC5510的轉換時鐘
???? din:in std_logic_vector(7 downto 0);??????????? --來自TLC5510的采樣數據
???? dout:out std_logic_vector(7 downto 0));????????? --FPGA數據輸出
end tlc5510;
architecture behav of tlc5510 is
signal q:integer range 3 downto 0;
begin
process(clk)??????????????? --此進程中,把CLK 進行4分頻,得到TLC5510的轉換時鐘
begin
if clk'event and clk='1' then
?? if q=3 then q<=0;
?? else q<=q+1;
?? end if;
end if;
if q>=2 then clk1<='1';????????????????????????????????????? --對系統CLK進行4分頻
else clk1<='0';
end if;
end process;
oe<='0';?????????????????????????????????????????????????????? --輸出使能賦低電平?????????????????????????????????????????????????????????
dout<=din;??????????????????????????????????????????????????????? --采樣數據輸出?????????????????
end behav;
TLC5510 VHDL控制程序
- vhdl(127605)
- TLC5510(17405)
相關推薦
采用TLC5510數據采集整形觸發電路設計
TLC5510的基準電源有多種接法,根據不同場合選擇適當基準電源,利用內部基準源,TLC5510典型應用電路如圖所示。由于其測量范圍為0.6 V~2.6 V。
2014-12-11 14:52:345871
TLC5510模數轉換器的特點及在線陣CCD數據采集系統中的應用
TLC5510是美國TI公司生產的新型模數轉換器件(ADC),它是一種采用CMOS工藝制造的8位高阻抗并行A/D芯片,能提供的最小采樣率為20MSPS.由于TLC5510采用了半閃速結構及CMOS工藝,因而大大減少了器件中比較器的數量,而且在高速轉換的同時能夠保持較低的功耗。
2020-07-17 14:29:281787
TLC5510噪聲問題
本帖最后由 后蔭榆楓 于 2013-8-18 21:25 編輯
我用TI的TLC5510作為FPGA的AD使用,可是現在信號一直調理不好,接入信號后,TLC5510板子上的地線和電源線上的噪聲
2013-08-18 21:23:11
TLC5510如何得到高頻率的時鐘信號
TLC5510模數轉換芯片,如果我需要轉換10M的信號,那么芯片的采樣時鐘頻率則至少需要20M,或者更大,單片機無法產生這么高的時鐘頻率,我該如何得到高頻率的時鐘信號呢
2019-06-10 08:52:31
FPGA 編程開發實例
;ADC0809 VHDL控制程序;TLC5510 VHDL控制程序;DAC0832 接口電路程序;TLC7524接口電路程序; 
2008-06-27 10:41:44
TCD1208AP和TLC5510應該如何連接?
哪位大神知道,TCD120AP輸出信號應該如何用AD芯片處理,比如TCD1208的輸出信號如何處理才能·和TLC5510連接?有否相關電路圖供小生參考,將不勝感激!!!
2014-12-14 22:29:20
fpga很有價值的27教程
fpga很有價值的27教程LED控制VHDL程序與仿真 LED控制VHDL程序與仿真;LCD控制VHDL程序與仿真 LCD控制VHDL程序與仿真;ADC0809 VHDL控制程序;TLC5510
2008-05-20 09:51:33
我寫的ATMEGA128的舵機控制程序用不了,可以提供控制一個舵機的控制程序嗎
我寫的ATMEGA128的舵機控制程序怎么用不了,那位可以提供控制一個舵機的控制程序
2019-01-11 10:45:19
步進電機控制程序編寫
步進電機控制程序編寫,在3399上控制步進電機,即控制GPIO口,需要使用庫WiringPi,其相關資料和使用方法參考http://wiki.friendlyarm.com/wiki
2021-07-08 09:59:08
求助tlc5510電路圖
求教各位用過tlc5510的,我的電路直接在輸出口3-10引腳接發光二極管,按道理輸出應該是5V或者0V,但是我的輸出電壓竟然有中間值,2.7V,1.2V等等,有誰的成功用過TLC5510的求個原理圖,萬分感謝
2017-01-17 19:56:58
求助:TCD1208AP和TLC5510連接問題
本帖最后由 gk320830 于 2015-3-4 14:20 編輯
哪位大神知道,TCD120AP輸出信號應該如何用AD芯片處理,比如TCD1208的輸出信號如何處理才能·和TLC5510連接?有否相關電路圖供小生參考,將不勝感激!!!
2014-12-14 22:23:28
請問TLC5510延遲時間是什么?
等于轉換速率。當我在看TLC5510的datasheet時,發現從模擬電壓信號輸入到數字信號輸出,要有2.5個時鐘周期的延遲,請問這個延遲時間是“ADC完成一次從模擬到數字轉換”需要的時間嗎?但是
2019-05-22 07:16:23
tlc5510 pdf
The TLC5510 and TLC5510A are CMOS, 8-bit, 20MSPS analog-to-digital converters (ADCs) thatutilize
2008-06-27 11:13:57204
tlc5510中文資料pdf
TLC5510是CMOS、8位、20MSPS模擬棗數字轉換器(ADC) ,它利用了半閃速結構(semiflasharchitecture) 。TLC5510用單5V電源工作且只消耗100mW(典型值)的功率。它還包含有內部采樣和保持電
2008-06-27 11:15:56482
8位高速AD轉換器TLC5510的應用
TLC5510是美國德州儀器公司生產的8位半閃速結構模數轉換器,它采用CMOS工藝制造,可提供最小20Msps的采樣率.可廣泛用于數字TV、醫學圖像、視頻會議、高速數據轉換以及QAM解調器等方面
2009-04-22 15:23:3464
實現TLC5510模數轉換器與TMS320C203 DSP的
Analog to Digital Converter to the TMS320C203 DSP (literature number SLAA029) thatpresents guidelines for interfacing the TI TLC5510 analog-
2009-06-12 14:26:1855
閃速8 AD轉換器TLC5510與單片微機的接口技術
TLC5510 是美國德州儀器公司生產的8位閃速結構模數轉換器,采用CMOS 工藝制造,采樣速率高達20MSPS。廣泛用于數字TV、醫學圖像、視頻會議、高速數據轉換以及QAM解調器等
2010-01-25 14:49:2681
TLC5540/TLC5510/TLC5510A EVM
This user’s guide serves as a reference book for the TLC5540/TLC5510/TLC5510A. This document
2010-06-02 09:24:348
TLC5510A/TLC5510 (8-Bit High-S
The TLC5510 and TLC5510A are CMOS, 8-bit, 20 MSPS analog-to-digital converters (ADCs) that utilize
2010-06-02 09:28:4518
Interfacing the TLC5510 Analog
Analog to Digital Converter to the TMS320C203 DSP (literature number SLAA029) thatpresents guidelines for interfacing the TI TLC5510 a
2010-06-02 09:42:007
TLC5510 analog to digital conv
;) TLC5510 8-bit parallel-output analog-to-digital converter (ADC) to the TI TMS320C203 DSP data bus. The 8-bit ADC operates at a rate of 20 MHz. T
2010-06-02 09:54:1811
基于TLC5510的數據采集系統設計
介紹了美國德州儀器公司A/D轉換器TLC5510的主要特點及典型應用電路,對于頻率較高的電信號,提供基于FPGA控制的等效采樣技術,使得TLC5510能對高頻周期信號采樣。
2010-12-16 15:32:0982
ADC0809 VHDL控制程序
ADC0809 VHDL控制程序--文件名:ADC0809.vhd--功能:基于VHDL語言,實現對ADC0809簡單控制--說明:ADC0809沒有內部時鐘,需外接10KHz~1290Hz的時鐘信號,這里由FPGA的
2008-06-27 11:19:282794
LED控制VHDL程序與仿真
LED控制VHDL程序與仿真分別介紹采用FPGA對LED進行靜態和動態顯示的數字時鐘控制程序。1. 例1:FPGA驅動LED靜態顯示--文件名:decoder.vhd。--功能:譯碼輸出
2008-06-27 11:20:401418
基于CMOS工藝的高阻抗并行A/D芯片TLC5510
1 概述
TLC5510是美國TI公司生產的新型模數轉換器件(ADC),它是一種采用CMOS工藝制造的8位高阻抗并行A/D芯片,能提供的最小采樣率為20MSPS。由于TLC5510采用了半閃速
2010-09-03 10:16:031138
無線傳輸的傳感系統中控制程序的設計方法
無線傳輸的傳感系統中控制程序的設計方法摘 要:分析了無線傳輸的傳感系統的控制程序,討論了通訊協議,接口電路及接口軟件的設計,指出了其設計的技術要點。 關鍵詞:無線傳輸;傳感系統;軟件
2011-01-30 12:51:2029
TLC5510 高速模數轉換器手冊
TLC5510是CMOS、8位、20MSPS模擬棗數字轉換器(ADC) ,它利用了半閃速結構(semiflash architecture) 。TLC5510用單5V電源工作且只消耗100mW(典型值)的功率。它還包含有內部采樣和保持電路,
2011-04-04 16:06:17123
基于VHDL語言對高速A/D器件TLC5510控制的實現
--TLC5510 VHDL 控制程序 --文件名:TLC5510.vhd --功能:基于VHDL語言,實現對高速A/D器件TLC5510控制 --最后修改日期:2004.3.20 library
2012-05-22 10:02:281322
tlc5510芯片數據手冊
The TLC5510 and TLC5510A are CMOS, 8-bit, 20 MSPS analog-to-digital converters (ADCs) that utilize
2016-10-12 14:57:5436
TLC5510數據采集系統設計方案分析
1 TLC5510簡介 TLC5510是美國德州儀器(TI)公司的8位半閃速架構A/D轉換器,采用CMOS工藝,大大減少比較器數。TLC5510最大可提供20 Ms/s的采樣率,可廣泛應用于高速數據
2017-10-20 11:31:497
TLC5510并行A/D芯片在線陣CCD數據系統中的應用
TLC5510是美國TI公司生產的新型模數轉換器件(ADC),它是一種采用CMOS工藝制造的8位高阻抗并行A/D芯片,能提供的最小采樣率為20MSPS。由于TLC5510采用了半閃速結構及CMOS工藝,因而大大減少了器件中比較器的數量,而且在高速轉換的同時能夠保持較低的功耗。
2018-12-31 08:50:002963
TLC5510和TLC5510A 8位高速模數轉換器的詳細
TLC5510和TLC5510A是CMOS,8位,20MSPS模數轉換器(ADC),它們采用半閃存結構。TLC55 10和TLC55 10A用單個5伏電源工作,通常只消耗130兆瓦的功率。包括內部采樣保持電路、具有高阻抗模式的并行輸出和內部參考電阻器。
2018-09-19 16:54:2728
TLC5510應用8位半閃速結構模數轉換器的介紹和使用手冊免費下載
TLC5510是美國德州儀器(TI)公司生產的8位半閃速結構模數轉換器,它采用 CMOS工藝制造,可提供最小20Msps 的采樣率。可廣泛用于數字TV、醫學圖像、視頻會議、高速數據轉換以及QAM
2018-10-18 08:00:0011
8位半閃速架構A/D轉換器TLC5510的性能特點和典型應用電路研究
TLC5510最大可提供20 Ms/s的采樣率,可廣泛應用于高速數據轉換、數字TV、醫學圖像、視頻會議以及QAM解調器等領域。TLC5510的工作電源為5 V,功耗為100 mW(典型值)。內置采樣
2020-07-31 15:38:033176
51單片機ADC控制程序
51單片機ADC控制程序(肇慶理士電源技術有限公司電話)-該文檔為51單片機ADC控制程序講解文檔,是一份還算不錯的參考文檔,感興趣的可以下載看看,,,,,,,,,,,,,,,,,
2021-09-27 16:05:5929
評論
查看更多